aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/ff/run.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/ff/run.tcl')
-rw-r--r--fpga_interchange/examples/ff/run.tcl14
1 files changed, 0 insertions, 14 deletions
diff --git a/fpga_interchange/examples/ff/run.tcl b/fpga_interchange/examples/ff/run.tcl
deleted file mode 100644
index 726d86eb..00000000
--- a/fpga_interchange/examples/ff/run.tcl
+++ /dev/null
@@ -1,14 +0,0 @@
-yosys -import
-
-read_verilog ff.v
-
-synth_xilinx -nolutram -nowidelut -nosrl -nocarry -nodsp
-
-# opt_expr -undriven makes sure all nets are driven, if only by the $undef
-# net.
-opt_expr -undriven
-opt_clean
-
-setundef -zero -params
-
-write_json build/ff.json