aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/blinky.ys
diff options
context:
space:
mode:
Diffstat (limited to 'ecp5/synth/blinky.ys')
-rw-r--r--ecp5/synth/blinky.ys9
1 files changed, 1 insertions, 8 deletions
diff --git a/ecp5/synth/blinky.ys b/ecp5/synth/blinky.ys
index c0b74636..fb359380 100644
--- a/ecp5/synth/blinky.ys
+++ b/ecp5/synth/blinky.ys
@@ -1,9 +1,2 @@
read_verilog blinky.v
-read_verilog -lib cells.v
-synth -top top
-abc -lut 4
-techmap -map simple_map.v
-splitnets
-opt_clean
-stat
-write_json blinky.json
+synth_ecp5 -noccu2 -nomux -nodram -json blinky.json