aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/blinky.ys
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-07-18 16:31:55 +0200
committerDavid Shah <davey1576@gmail.com>2018-07-18 16:31:55 +0200
commit50bf32665d0d7b7054df3ce1f36aad783eaaaa83 (patch)
tree6a9e855086f2c41c0c83371ef9aaea17eddfe6d1 /ecp5/synth/blinky.ys
parentc80934f953a9aa185aec0f3e9b9a23296c6e682b (diff)
downloadnextpnr-50bf32665d0d7b7054df3ce1f36aad783eaaaa83.tar.gz
nextpnr-50bf32665d0d7b7054df3ce1f36aad783eaaaa83.tar.bz2
nextpnr-50bf32665d0d7b7054df3ce1f36aad783eaaaa83.zip
ecp5: Tidying up examples
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'ecp5/synth/blinky.ys')
-rw-r--r--ecp5/synth/blinky.ys9
1 files changed, 1 insertions, 8 deletions
diff --git a/ecp5/synth/blinky.ys b/ecp5/synth/blinky.ys
index c0b74636..fb359380 100644
--- a/ecp5/synth/blinky.ys
+++ b/ecp5/synth/blinky.ys
@@ -1,9 +1,2 @@
read_verilog blinky.v
-read_verilog -lib cells.v
-synth -top top
-abc -lut 4
-techmap -map simple_map.v
-splitnets
-opt_clean
-stat
-write_json blinky.json
+synth_ecp5 -noccu2 -nomux -nodram -json blinky.json