aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2
diff options
context:
space:
mode:
authorWilliam D. Jones <thor0505@comcast.net>2021-11-05 13:26:05 -0400
committerWilliam D. Jones <thor0505@comcast.net>2021-12-16 16:59:38 -0500
commit4d757922572e6009777c6d533d410cdb2257c363 (patch)
treef5f6fc264284d2bfc7302b9dbe763d409595e48c /machxo2
parentbe3788fa3004cfee1058293d5da6ba758f913e7e (diff)
downloadnextpnr-4d757922572e6009777c6d533d410cdb2257c363.tar.gz
nextpnr-4d757922572e6009777c6d533d410cdb2257c363.tar.bz2
nextpnr-4d757922572e6009777c6d533d410cdb2257c363.zip
machxo2: Remove no-iobs option. It was always enabled and should remain an implementation detail.
Diffstat (limited to 'machxo2')
-rw-r--r--machxo2/examples/demo-vhdl.sh2
-rw-r--r--machxo2/examples/demo.sh2
-rw-r--r--machxo2/examples/mitertest.sh2
-rw-r--r--machxo2/examples/simple.sh2
-rw-r--r--machxo2/examples/simtest.sh2
-rw-r--r--machxo2/main.cc3
6 files changed, 5 insertions, 8 deletions
diff --git a/machxo2/examples/demo-vhdl.sh b/machxo2/examples/demo-vhdl.sh
index 4bdab54a..ed1f7d80 100644
--- a/machxo2/examples/demo-vhdl.sh
+++ b/machxo2/examples/demo-vhdl.sh
@@ -19,6 +19,6 @@ set -ex
${YOSYS:-yosys} -p "ghdl --std=08 prims.vhd ${1}.vhd -e;
attrmap -tocase LOC
synth_machxo2 -json ${1}-vhdl.json"
-${NEXTPNR:-../../nextpnr-machxo2} --1200 --package QFN32 --no-iobs --json $1-vhdl.json --textcfg $1-vhdl.txt
+${NEXTPNR:-../../nextpnr-machxo2} --1200 --package QFN32 --json $1-vhdl.json --textcfg $1-vhdl.txt
ecppack --compress $DB_ARG $1-vhdl.txt $1-vhdl.bit
tinyproga -b $1-vhdl.bit
diff --git a/machxo2/examples/demo.sh b/machxo2/examples/demo.sh
index 00cb0cd0..634fbb4d 100644
--- a/machxo2/examples/demo.sh
+++ b/machxo2/examples/demo.sh
@@ -17,6 +17,6 @@ fi
set -ex
${YOSYS:-yosys} -p "synth_machxo2 -json $1.json" $1.v
-${NEXTPNR:-../../nextpnr-machxo2} --1200 --package QFN32 --no-iobs --json $1.json --textcfg $1.txt
+${NEXTPNR:-../../nextpnr-machxo2} --1200 --package QFN32 --json $1.json --textcfg $1.txt
ecppack --compress $DB_ARG $1.txt $1.bit
tinyproga -b $1.bit
diff --git a/machxo2/examples/mitertest.sh b/machxo2/examples/mitertest.sh
index feafc0dd..b7ec2695 100644
--- a/machxo2/examples/mitertest.sh
+++ b/machxo2/examples/mitertest.sh
@@ -73,7 +73,7 @@ set -ex
${YOSYS:-yosys} -p "read_verilog ${1}.v
synth_machxo2 -json ${1}.json"
-${NEXTPNR:-../../nextpnr-machxo2} $NEXTPNR_MODE --1200 --package QFN32 --no-iobs --json ${1}.json --write ${2}${1}.json
+${NEXTPNR:-../../nextpnr-machxo2} $NEXTPNR_MODE --1200 --package QFN32 --json ${1}.json --write ${2}${1}.json
${YOSYS:-yosys} -p "read_verilog -lib +/machxo2/cells_sim.v
read_json ${2}${1}.json
clean -purge
diff --git a/machxo2/examples/simple.sh b/machxo2/examples/simple.sh
index 1da60933..69706b9c 100644
--- a/machxo2/examples/simple.sh
+++ b/machxo2/examples/simple.sh
@@ -26,7 +26,7 @@ set -ex
${YOSYS:-yosys} -p "read_verilog ${1}.v
synth_machxo2 -json ${1}.json
show -format png -prefix ${1}"
-${NEXTPNR:-../../nextpnr-machxo2} $NEXTPNR_MODE --1200 --package QFN32 --no-iobs --json ${1}.json --write ${2}${1}.json
+${NEXTPNR:-../../nextpnr-machxo2} $NEXTPNR_MODE --1200 --package QFN32 --json ${1}.json --write ${2}${1}.json
${YOSYS:-yosys} -p "read_verilog -lib +/machxo2/cells_sim.v
read_json ${2}${1}.json
clean -purge
diff --git a/machxo2/examples/simtest.sh b/machxo2/examples/simtest.sh
index 2c7f6f30..0adf1751 100644
--- a/machxo2/examples/simtest.sh
+++ b/machxo2/examples/simtest.sh
@@ -30,7 +30,7 @@ set -ex
${YOSYS:-yosys} -p "read_verilog ${1}.v
synth_machxo2 -json ${1}.json"
-${NEXTPNR:-../../nextpnr-machxo2} $NEXTPNR_MODE --1200 --package QFN32 --no-iobs --json ${1}.json --write ${2}${1}.json
+${NEXTPNR:-../../nextpnr-machxo2} $NEXTPNR_MODE --1200 --package QFN32 --json ${1}.json --write ${2}${1}.json
${YOSYS:-yosys} -p "read_verilog -lib +/machxo2/cells_sim.v
read_json ${2}${1}.json
clean -purge
diff --git a/machxo2/main.cc b/machxo2/main.cc
index 53b765fb..e29e117b 100644
--- a/machxo2/main.cc
+++ b/machxo2/main.cc
@@ -69,7 +69,6 @@ po::options_description MachXO2CommandHandler::getArchOptions()
// specific.add_options()("lpf", po::value<std::vector<std::string>>(), "LPF pin constraint file(s)");
- specific.add_options()("no-iobs", "disable automatic IO buffer insertion (unimplemented- always enabled)");
return specific;
}
@@ -108,8 +107,6 @@ std::unique_ptr<Context> MachXO2CommandHandler::createContext(dict<std::string,
}
auto ctx = std::unique_ptr<Context>(new Context(chipArgs));
- if (vm.count("no-iobs"))
- ctx->settings[ctx->id("disable_iobs")] = Property::State::S1;
return ctx;
}