aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2/examples/simple.sh
diff options
context:
space:
mode:
authorWilliam D. Jones <thor0505@comcast.net>2020-11-21 18:42:30 -0500
committergatecat <gatecat@ds0.me>2021-02-12 10:36:59 +0000
commit1cde40792f2d8cf8d0799fec25c0418a0903547f (patch)
tree0a11a35f4d8af8776ffedd17a18b46fe33c927b4 /machxo2/examples/simple.sh
parentade94efbfff721ea94afb1408d0d502be990ec5d (diff)
downloadnextpnr-1cde40792f2d8cf8d0799fec25c0418a0903547f.tar.gz
nextpnr-1cde40792f2d8cf8d0799fec25c0418a0903547f.tar.bz2
nextpnr-1cde40792f2d8cf8d0799fec25c0418a0903547f.zip
machxo2: Improve examples directory.
Diffstat (limited to 'machxo2/examples/simple.sh')
-rw-r--r--machxo2/examples/simple.sh4
1 files changed, 2 insertions, 2 deletions
diff --git a/machxo2/examples/simple.sh b/machxo2/examples/simple.sh
index 7f973033..9eb06886 100644
--- a/machxo2/examples/simple.sh
+++ b/machxo2/examples/simple.sh
@@ -1,5 +1,5 @@
#!/usr/bin/env bash
set -ex
-yosys -p "tcl ../synth/synth_machxo2.tcl 4 blinky.json" blinky.v
+${YOSYS:yosys} -p "synth_machxo2 -json blinky.json" blinky.v
${NEXTPNR:-../../nextpnr-machxo2} --json blinky.json --write pnrblinky.json
-yosys -p "read_verilog -lib ../synth/prims.v; read_json pnrblinky.json; dump -o blinky.il; show -format png -prefix blinky"
+${YOSYS:yosys} -p "read_verilog -lib +/machxo2/cells_sim.v; read_json pnrblinky.json; dump -o blinky.il; show -format png -prefix blinky"