aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2/examples/prims.vhd
diff options
context:
space:
mode:
authorgatecat <gatecat@ds0.me>2021-07-01 20:17:02 +0100
committerGitHub <noreply@github.com>2021-07-01 20:17:02 +0100
commitfe38e70dc1cd84a60e2fe05f7153c8deed1c16e9 (patch)
tree5752e4a2be0793539691580c02ea85933544c47f /machxo2/examples/prims.vhd
parent55c663f7ac63253124cffd1efec8b9b400658a3d (diff)
parent41d09f71871184aabbd7495a485e257fc0450d40 (diff)
downloadnextpnr-fe38e70dc1cd84a60e2fe05f7153c8deed1c16e9.tar.gz
nextpnr-fe38e70dc1cd84a60e2fe05f7153c8deed1c16e9.tar.bz2
nextpnr-fe38e70dc1cd84a60e2fe05f7153c8deed1c16e9.zip
Merge pull request #747 from cr1901/machxo2
MachXO2 Checkpoint 1
Diffstat (limited to 'machxo2/examples/prims.vhd')
-rw-r--r--machxo2/examples/prims.vhd18
1 files changed, 18 insertions, 0 deletions
diff --git a/machxo2/examples/prims.vhd b/machxo2/examples/prims.vhd
new file mode 100644
index 00000000..928d1cea
--- /dev/null
+++ b/machxo2/examples/prims.vhd
@@ -0,0 +1,18 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+-- We don't have VHDL primitives yet, so declare them in examples for now.
+package components is
+
+component OSCH
+ generic (
+ NOM_FREQ : string := "2.08"
+ );
+ port(
+ STDBY : in std_logic;
+ OSC : out std_logic;
+ SEDSTDBY : out std_logic
+ );
+end component;
+
+end components;