aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/blinky.ys
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2018-05-31 18:10:36 +0200
committerClifford Wolf <clifford@clifford.at>2018-05-31 18:10:36 +0200
commit90c7e3b13d9e7f1791c9815734fccaca4f8b5fe8 (patch)
treead7b9e84dba816f64e01c938239afc4f87f347ee /ice40/blinky.ys
parent3b0d1beabbaf7bb22136bf831191469836c38d33 (diff)
downloadnextpnr-90c7e3b13d9e7f1791c9815734fccaca4f8b5fe8.tar.gz
nextpnr-90c7e3b13d9e7f1791c9815734fccaca4f8b5fe8.tar.bz2
nextpnr-90c7e3b13d9e7f1791c9815734fccaca4f8b5fe8.zip
Add iCE40 blinky example
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'ice40/blinky.ys')
-rw-r--r--ice40/blinky.ys9
1 files changed, 9 insertions, 0 deletions
diff --git a/ice40/blinky.ys b/ice40/blinky.ys
new file mode 100644
index 00000000..9c51dc88
--- /dev/null
+++ b/ice40/blinky.ys
@@ -0,0 +1,9 @@
+read_verilog blinky.v
+read_verilog -lib +/ice40/cells_sim.v
+synth -top blinky
+abc -lut 4
+techmap -map blinky_map.v
+splitnets
+opt_clean
+stat
+write_json blinky.json