aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/blinky.sh
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-06-13 12:55:08 +0200
committerDavid Shah <davey1576@gmail.com>2018-06-13 12:55:08 +0200
commit4694c6aae7656b22fa94db9a252ed046faf40244 (patch)
tree3001dead822fd697eb30da58c204cd7ab0c83aca /ice40/blinky.sh
parent1e314cc0ced067d72cea54c8225cf9d3ed0b6c14 (diff)
downloadnextpnr-4694c6aae7656b22fa94db9a252ed046faf40244.tar.gz
nextpnr-4694c6aae7656b22fa94db9a252ed046faf40244.tar.bz2
nextpnr-4694c6aae7656b22fa94db9a252ed046faf40244.zip
ice40: Update examples to use packer/pcf
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'ice40/blinky.sh')
-rwxr-xr-x[-rw-r--r--]ice40/blinky.sh2
1 files changed, 1 insertions, 1 deletions
diff --git a/ice40/blinky.sh b/ice40/blinky.sh
index 23ee2cac..a2326fc3 100644..100755
--- a/ice40/blinky.sh
+++ b/ice40/blinky.sh
@@ -1,7 +1,7 @@
#!/bin/bash
set -ex
yosys blinky.ys
-../nextpnr-ice40 --json blinky.json --asc blinky.asc
+../nextpnr-ice40 --json blinky.json --pcf blinky.pcf --asc blinky.asc
icepack blinky.asc blinky.bin
icebox_vlog blinky.asc > blinky_chip.v
iverilog -o blinky_tb blinky_chip.v blinky_tb.v