aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/.gitignore
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2018-06-10 14:31:38 +0200
committerClifford Wolf <clifford@clifford.at>2018-06-10 14:31:38 +0200
commit032c94d094b74bec922004cbbac0dcb2ae734839 (patch)
tree704a2a2dd580548c640fc5228705b7b8894c7c5e /ice40/.gitignore
parent4a79e70470987ba54a16ab97eb25d664509550fc (diff)
downloadnextpnr-032c94d094b74bec922004cbbac0dcb2ae734839.tar.gz
nextpnr-032c94d094b74bec922004cbbac0dcb2ae734839.tar.bz2
nextpnr-032c94d094b74bec922004cbbac0dcb2ae734839.zip
Add blinky post-synthesis testbench
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'ice40/.gitignore')
-rw-r--r--ice40/.gitignore9
1 files changed, 4 insertions, 5 deletions
diff --git a/ice40/.gitignore b/ice40/.gitignore
index afb0753d..d1409985 100644
--- a/ice40/.gitignore
+++ b/ice40/.gitignore
@@ -1,5 +1,4 @@
-/chipdb-1k.cc
-/chipdb-384.cc
-/chipdb-5k.cc
-/chipdb-8k.cc
-chipdbs/
+/blinky_chip.v
+/blinky_tb
+/blinky_tb.vcd
+/chipdbs/