aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange
diff options
context:
space:
mode:
authorD. Shah <dave@ds0.me>2021-02-05 11:49:31 +0000
committerD. Shah <dave@ds0.me>2021-02-05 19:19:17 +0000
commitf5d2e245e172e2481639dedc3f9b6870f069742a (patch)
treeb48021373a3f44dbc0384bb56bd2951ca7fe7971 /fpga_interchange
parent59c3db46cabf9914b01451ac724ba3da33fe6f42 (diff)
downloadnextpnr-f5d2e245e172e2481639dedc3f9b6870f069742a.tar.gz
nextpnr-f5d2e245e172e2481639dedc3f9b6870f069742a.tar.bz2
nextpnr-f5d2e245e172e2481639dedc3f9b6870f069742a.zip
nexus: Switch to BaseArch
Signed-off-by: D. Shah <dave@ds0.me>
Diffstat (limited to 'fpga_interchange')
0 files changed, 0 insertions, 0 deletions