aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange
diff options
context:
space:
mode:
authorKeith Rothman <537074+litghost@users.noreply.github.com>2021-02-17 11:58:28 -0800
committerKeith Rothman <537074+litghost@users.noreply.github.com>2021-02-17 12:03:17 -0800
commitb3dcc9d507547713b49b3be7c2978b7d47e52111 (patch)
treea742c686c4dba8fc689c21975f2355dfa7815f14 /fpga_interchange
parent5833c90210a53db726b1e3fae9b67bc6ca3b70d6 (diff)
downloadnextpnr-b3dcc9d507547713b49b3be7c2978b7d47e52111.tar.gz
nextpnr-b3dcc9d507547713b49b3be7c2978b7d47e52111.tar.bz2
nextpnr-b3dcc9d507547713b49b3be7c2978b7d47e52111.zip
Add IOSTANDARD to ports.
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
Diffstat (limited to 'fpga_interchange')
-rw-r--r--fpga_interchange/examples/lut/lut.xdc4
1 files changed, 3 insertions, 1 deletions
diff --git a/fpga_interchange/examples/lut/lut.xdc b/fpga_interchange/examples/lut/lut.xdc
index 4f7e948b..4f390f25 100644
--- a/fpga_interchange/examples/lut/lut.xdc
+++ b/fpga_interchange/examples/lut/lut.xdc
@@ -2,4 +2,6 @@ set_property PACKAGE_PIN N16 [get_ports i0]
set_property PACKAGE_PIN N15 [get_ports i1]
set_property PACKAGE_PIN M17 [get_ports o]
-#set_property IOSTANDARD LVCMOS33 [get_ports]
+set_property IOSTANDARD LVCMOS33 [get_ports i0]
+set_property IOSTANDARD LVCMOS33 [get_ports i1]
+set_property IOSTANDARD LVCMOS33 [get_ports o]