aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/fpga_interchange.cpp
diff options
context:
space:
mode:
authorgatecat <gatecat@ds0.me>2021-05-15 12:14:00 +0100
committergatecat <gatecat@ds0.me>2021-05-15 14:54:33 +0100
commit7fbfd98b8a1cd36d80fa9b7aa3e529c5a510f7e1 (patch)
tree289640468d906f9f6d02d419d44a7919eb92dd18 /fpga_interchange/fpga_interchange.cpp
parent34677d38837af88729a217fea7617c892dfb5a95 (diff)
downloadnextpnr-7fbfd98b8a1cd36d80fa9b7aa3e529c5a510f7e1.tar.gz
nextpnr-7fbfd98b8a1cd36d80fa9b7aa3e529c5a510f7e1.tar.bz2
nextpnr-7fbfd98b8a1cd36d80fa9b7aa3e529c5a510f7e1.zip
mistral: Speed up bel binding and checking
Signed-off-by: gatecat <gatecat@ds0.me>
Diffstat (limited to 'fpga_interchange/fpga_interchange.cpp')
0 files changed, 0 insertions, 0 deletions