aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/wire/wire.v
diff options
context:
space:
mode:
authorAlessandro Comodi <acomodi@antmicro.com>2021-03-12 13:53:09 +0100
committerAlessandro Comodi <acomodi@antmicro.com>2021-03-16 15:39:01 +0100
commit77ffdd7fd4e90e0da43e81b1f5e021b08ee64a9f (patch)
tree143ce61b476d33d2b0ddd63d5beb872f5d077949 /fpga_interchange/examples/wire/wire.v
parent6a08b0d733e928e5e7c180dee21829f7db2d9ccf (diff)
downloadnextpnr-77ffdd7fd4e90e0da43e81b1f5e021b08ee64a9f.tar.gz
nextpnr-77ffdd7fd4e90e0da43e81b1f5e021b08ee64a9f.tar.bz2
nextpnr-77ffdd7fd4e90e0da43e81b1f5e021b08ee64a9f.zip
fpga_interchange: tests: add cmake functions
Also move all tests in a tests directory Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Diffstat (limited to 'fpga_interchange/examples/wire/wire.v')
-rw-r--r--fpga_interchange/examples/wire/wire.v5
1 files changed, 0 insertions, 5 deletions
diff --git a/fpga_interchange/examples/wire/wire.v b/fpga_interchange/examples/wire/wire.v
deleted file mode 100644
index 429d05ff..00000000
--- a/fpga_interchange/examples/wire/wire.v
+++ /dev/null
@@ -1,5 +0,0 @@
-module top(input i, output o);
-
-assign o = i;
-
-endmodule