aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/wire/CMakeLists.txt
diff options
context:
space:
mode:
authorAlessandro Comodi <acomodi@antmicro.com>2021-03-17 18:43:29 +0100
committerAlessandro Comodi <acomodi@antmicro.com>2021-03-23 20:36:23 +0100
commit336d31cbcf592455abdbefc01ec5c6f87914b4f3 (patch)
tree339cbe71a677180a466fbab7a6718fd449d6f759 /fpga_interchange/examples/tests/wire/CMakeLists.txt
parent3cc50a5744beeae63ffb9ecd2064666e90d26be4 (diff)
downloadnextpnr-336d31cbcf592455abdbefc01ec5c6f87914b4f3.tar.gz
nextpnr-336d31cbcf592455abdbefc01ec5c6f87914b4f3.tar.bz2
nextpnr-336d31cbcf592455abdbefc01ec5c6f87914b4f3.zip
fpga_interchange: add more devices
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Diffstat (limited to 'fpga_interchange/examples/tests/wire/CMakeLists.txt')
-rw-r--r--fpga_interchange/examples/tests/wire/CMakeLists.txt32
1 files changed, 31 insertions, 1 deletions
diff --git a/fpga_interchange/examples/tests/wire/CMakeLists.txt b/fpga_interchange/examples/tests/wire/CMakeLists.txt
index 59faf402..c7199475 100644
--- a/fpga_interchange/examples/tests/wire/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/wire/CMakeLists.txt
@@ -9,7 +9,7 @@ add_interchange_test(
)
add_interchange_test(
- name wire_arty
+ name wire_arty_35t
family ${family}
device xc7a35t
package csg324
@@ -17,3 +17,33 @@ add_interchange_test(
xdc wire_arty.xdc
sources wire.v
)
+
+add_interchange_test(
+ name wire_arty_100t
+ family ${family}
+ device xc7a100t
+ package csg324
+ tcl run.tcl
+ xdc wire_arty.xdc
+ sources wire.v
+)
+
+add_interchange_test(
+ name wire_nexys_video
+ family ${family}
+ device xc7a200t
+ package sbg484
+ tcl run.tcl
+ xdc wire_nexys_video.xdc
+ sources wire.v
+)
+
+add_interchange_test(
+ name wire_zybo
+ family ${family}
+ device xc7z010
+ package clg400
+ tcl run.tcl
+ xdc wire_zybo.xdc
+ sources wire.v
+)