aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/lut
diff options
context:
space:
mode:
authorAlessandro Comodi <acomodi@antmicro.com>2021-03-12 19:03:02 +0100
committerAlessandro Comodi <acomodi@antmicro.com>2021-03-16 15:39:02 +0100
commitbd2da27e4e35e92ad91145921cf9c7d2c490a9df (patch)
tree95c4870522beeeed9ae1a61d8d1f4a44450231fd /fpga_interchange/examples/tests/lut
parente5cc03965ef3959ed11cf811816422fd6a5a5cc1 (diff)
downloadnextpnr-bd2da27e4e35e92ad91145921cf9c7d2c490a9df.tar.gz
nextpnr-bd2da27e4e35e92ad91145921cf9c7d2c490a9df.tar.bz2
nextpnr-bd2da27e4e35e92ad91145921cf9c7d2c490a9df.zip
fpga_interchange: tests: added comment and fixed XDC
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Diffstat (limited to 'fpga_interchange/examples/tests/lut')
-rw-r--r--fpga_interchange/examples/tests/lut/CMakeLists.txt8
-rw-r--r--fpga_interchange/examples/tests/lut/lut_arty.xdc (renamed from fpga_interchange/examples/tests/lut/lut.xdc)0
-rw-r--r--fpga_interchange/examples/tests/lut/lut_basys3.xdc7
3 files changed, 11 insertions, 4 deletions
diff --git a/fpga_interchange/examples/tests/lut/CMakeLists.txt b/fpga_interchange/examples/tests/lut/CMakeLists.txt
index ac504351..47b6f389 100644
--- a/fpga_interchange/examples/tests/lut/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/lut/CMakeLists.txt
@@ -1,17 +1,17 @@
add_interchange_test(
name lut_basys3
- device xc7a50t
+ device xc7a35t
package cpg236
tcl run.tcl
- xdc lut.xdc
+ xdc lut_basys3.xdc
sources lut.v
)
add_interchange_test(
name lut_arty
- device xc7a50t
+ device xc7a35t
package csg324
tcl run.tcl
- xdc lut.xdc
+ xdc lut_arty.xdc
sources lut.v
)
diff --git a/fpga_interchange/examples/tests/lut/lut.xdc b/fpga_interchange/examples/tests/lut/lut_arty.xdc
index 4f390f25..4f390f25 100644
--- a/fpga_interchange/examples/tests/lut/lut.xdc
+++ b/fpga_interchange/examples/tests/lut/lut_arty.xdc
diff --git a/fpga_interchange/examples/tests/lut/lut_basys3.xdc b/fpga_interchange/examples/tests/lut/lut_basys3.xdc
new file mode 100644
index 00000000..aef287ee
--- /dev/null
+++ b/fpga_interchange/examples/tests/lut/lut_basys3.xdc
@@ -0,0 +1,7 @@
+set_property PACKAGE_PIN V17 [get_ports i0]
+set_property PACKAGE_PIN V16 [get_ports i1]
+set_property PACKAGE_PIN U16 [get_ports o]
+
+set_property IOSTANDARD LVCMOS33 [get_ports i0]
+set_property IOSTANDARD LVCMOS33 [get_ports i1]
+set_property IOSTANDARD LVCMOS33 [get_ports o]