aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/ff/ff.v
diff options
context:
space:
mode:
authorKeith Rothman <537074+litghost@users.noreply.github.com>2021-02-19 16:18:59 -0800
committerKeith Rothman <537074+litghost@users.noreply.github.com>2021-02-23 14:09:28 -0800
commit2fc353d5592b0bf9ed8428545bbd6a64312cc16e (patch)
tree772f1cd9dae2e4fd240d2ad32045acff0dcadc7b /fpga_interchange/examples/ff/ff.v
parentcd8297f54d71a5c9f47efab45b3cc93aea86d4e5 (diff)
downloadnextpnr-2fc353d5592b0bf9ed8428545bbd6a64312cc16e.tar.gz
nextpnr-2fc353d5592b0bf9ed8428545bbd6a64312cc16e.tar.bz2
nextpnr-2fc353d5592b0bf9ed8428545bbd6a64312cc16e.zip
Add initial logic for handling dedicated interconnect situations.
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
Diffstat (limited to 'fpga_interchange/examples/ff/ff.v')
-rw-r--r--fpga_interchange/examples/ff/ff.v11
1 files changed, 11 insertions, 0 deletions
diff --git a/fpga_interchange/examples/ff/ff.v b/fpga_interchange/examples/ff/ff.v
new file mode 100644
index 00000000..1c271042
--- /dev/null
+++ b/fpga_interchange/examples/ff/ff.v
@@ -0,0 +1,11 @@
+module top(input clk, input d, input r, output reg q);
+
+always @(posedge clk)
+begin
+ if(r)
+ q <= 1'b0;
+ else
+ q <= d;
+end
+
+endmodule