aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/devices
diff options
context:
space:
mode:
authorgatecat <gatecat@ds0.me>2021-06-01 08:57:46 +0100
committergatecat <gatecat@ds0.me>2021-06-01 09:52:40 +0100
commit0426ba4e877c0ec1130d8ab7bc74e70cc4e406bf (patch)
treedd0776a3d57a596b15a3c6c52f7d203cb1094cc1 /fpga_interchange/examples/devices
parent24ae205f20f0e1a0326e48002ab14d5bacfca1ef (diff)
downloadnextpnr-0426ba4e877c0ec1130d8ab7bc74e70cc4e406bf.tar.gz
nextpnr-0426ba4e877c0ec1130d8ab7bc74e70cc4e406bf.tar.bz2
nextpnr-0426ba4e877c0ec1130d8ab7bc74e70cc4e406bf.zip
interchange: Add LIFCL-40 EVN tests
Signed-off-by: gatecat <gatecat@ds0.me>
Diffstat (limited to 'fpga_interchange/examples/devices')
-rw-r--r--fpga_interchange/examples/devices/CMakeLists.txt1
-rw-r--r--fpga_interchange/examples/devices/LIFCL-40/CMakeLists.txt13
-rw-r--r--fpga_interchange/examples/devices/LIFCL-40/test_data.yaml8
3 files changed, 22 insertions, 0 deletions
diff --git a/fpga_interchange/examples/devices/CMakeLists.txt b/fpga_interchange/examples/devices/CMakeLists.txt
index ff01bd3c..1cf8ad43 100644
--- a/fpga_interchange/examples/devices/CMakeLists.txt
+++ b/fpga_interchange/examples/devices/CMakeLists.txt
@@ -8,3 +8,4 @@ add_subdirectory(xc7z010)
# Nexus devices
add_subdirectory(LIFCL-17)
+add_subdirectory(LIFCL-40)
diff --git a/fpga_interchange/examples/devices/LIFCL-40/CMakeLists.txt b/fpga_interchange/examples/devices/LIFCL-40/CMakeLists.txt
new file mode 100644
index 00000000..d6310116
--- /dev/null
+++ b/fpga_interchange/examples/devices/LIFCL-40/CMakeLists.txt
@@ -0,0 +1,13 @@
+generate_nexus_device_db(
+ device LIFCL-40
+ device_target lifcl40_target
+)
+
+generate_chipdb(
+ family ${family}
+ device LIFCL-40
+ part LIFCL-40-9BG400C
+ device_target ${lifcl40_target}
+ device_config ${PYTHON_INTERCHANGE_PATH}/test_data/nexus_device_config.yaml
+ test_package CABGA400
+)
diff --git a/fpga_interchange/examples/devices/LIFCL-40/test_data.yaml b/fpga_interchange/examples/devices/LIFCL-40/test_data.yaml
new file mode 100644
index 00000000..c4787eba
--- /dev/null
+++ b/fpga_interchange/examples/devices/LIFCL-40/test_data.yaml
@@ -0,0 +1,8 @@
+pip_test:
+ - src_wire: R3C3_PLC.PLC/JDI0_SLICEA
+ dst_wire: R3C3/JF0
+bel_pin_test:
+ - bel: R7C3_PLC.PLC/SLICEA_LUT0
+ pin: D
+ wire: R7C3_PLC.PLC/JD0_SLICEA
+