aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-11 08:42:13 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-11 08:42:13 -0800
commitef8105bfbada1e5e7c875bf230479fbfddcaecc4 (patch)
treee8c91b721adfb76f1b993127be14957a5301e6ea
parent7bd4fa99a1c3a45eef8fd6a754e124745e48d8e4 (diff)
downloadnextpnr-ef8105bfbada1e5e7c875bf230479fbfddcaecc4.tar.gz
nextpnr-ef8105bfbada1e5e7c875bf230479fbfddcaecc4.tar.bz2
nextpnr-ef8105bfbada1e5e7c875bf230479fbfddcaecc4.zip
[tests] Retry .cirrus.yml
-rw-r--r--.cirrus.yml2
1 files changed, 1 insertions, 1 deletions
diff --git a/.cirrus.yml b/.cirrus.yml
index d7aa01e0..6860b994 100644
--- a/.cirrus.yml
+++ b/.cirrus.yml
@@ -10,4 +10,4 @@ task:
test_ice40_script: cd build && ./nextpnr-ice40-test
smoketest_ice40_script: export NEXTPNR=$(pwd)/build/nextpnr-ice40 && cd ice40/smoketest/attosoc && ./smoketest.sh
test_ecp5_script: cd build && ./nextpnr-ecp5-test
- regressions_ice40: make -C tests/ice40/regressions
+ regressions_ice40_script: make -C tests/ice40/regressions NPNR=$(pwd)/build/nextpnr-ice40