aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-04-17 11:15:35 +0100
committerDavid Shah <dave@ds0.me>2019-04-17 13:02:01 +0100
commitc33da42365d36f740ed2b618235efcd4c93701f0 (patch)
tree4886bd02190191aedb82910c9e5f1fdcadf056f2
parent9fa13b5adcb4bfb193645fee0091c5c51c88c17b (diff)
downloadnextpnr-c33da42365d36f740ed2b618235efcd4c93701f0.tar.gz
nextpnr-c33da42365d36f740ed2b618235efcd4c93701f0.tar.bz2
nextpnr-c33da42365d36f740ed2b618235efcd4c93701f0.zip
ci: Run generic example simple.sh
Signed-off-by: David Shah <dave@ds0.me>
-rw-r--r--.cirrus.yml3
-rwxr-xr-xgeneric/examples/simple.sh4
2 files changed, 4 insertions, 3 deletions
diff --git a/.cirrus.yml b/.cirrus.yml
index c97b521c..5cac994e 100644
--- a/.cirrus.yml
+++ b/.cirrus.yml
@@ -2,7 +2,7 @@ task:
name: build-test-ubuntu1604
container:
cpu: 4
- memory: 16
+ memory: 20
dockerfile: .cirrus/Dockerfile.ubuntu16.04
build_script: mkdir build && cd build && cmake .. -DARCH=all -DTRELLIS_ROOT=/usr/local/src/prjtrellis -DBUILD_TESTS=on && make -j $(nproc)
@@ -11,5 +11,6 @@ task:
test_ice40_script: cd build && ./nextpnr-ice40-test
smoketest_ice40_script: export NEXTPNR=$(pwd)/build/nextpnr-ice40 && cd ice40/smoketest/attosoc && ./smoketest.sh
test_ecp5_script: cd build && ./nextpnr-ecp5-test
+ smoketest_generic_script: export NEXTPNR=$(pwd)/build/nextpnr-generic && cd generic/examples && ./simple.sh
regressiontest_ice40_script: make -j $(nproc) -C tests/ice40/regressions NPNR=$(pwd)/build/nextpnr-ice40
regressiontest_ecp5_script: make -j $(nproc) -C tests/ecp5/regressions NPNR=$(pwd)/build/nextpnr-ecp5
diff --git a/generic/examples/simple.sh b/generic/examples/simple.sh
index 576a6418..8ae903f9 100755
--- a/generic/examples/simple.sh
+++ b/generic/examples/simple.sh
@@ -1,4 +1,4 @@
-#!/usr/bin/bash
+#!/usr/bin/env bash
set -ex
yosys -p "tcl ../synth/synth_generic.tcl 4 blinky.json" blinky.v
-../../nextpnr-generic --pre-pack simple.py --pre-place simple_timing.py --json blinky.json --post-route bitstream.py \ No newline at end of file
+${NEXTPNR:-../../nextpnr-generic} --pre-pack simple.py --pre-place simple_timing.py --json blinky.json --post-route bitstream.py