aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-08-09 10:27:03 +0100
committerGitHub <noreply@github.com>2019-08-09 10:27:03 +0100
commit67488f780c0ddf6cd4fda38214f4c3ed651d9988 (patch)
tree7cbb23f795134e0efebba8a93cd091f7b5362504
parentdc565b11c793aa41f305a8c9707535ca30d5da59 (diff)
parentc9969c15938a6146e058bb3991c58ce1cf81ea42 (diff)
downloadnextpnr-67488f780c0ddf6cd4fda38214f4c3ed651d9988.tar.gz
nextpnr-67488f780c0ddf6cd4fda38214f4c3ed651d9988.tar.bz2
nextpnr-67488f780c0ddf6cd4fda38214f4c3ed651d9988.zip
Merge pull request #311 from YosysHQ/deprecate-default-package
Add deprecation warning for default packages
-rw-r--r--ecp5/main.cc5
-rwxr-xr-xice40/examples/blinky/blinky.sh2
-rwxr-xr-xice40/examples/floorplan/floorplan.sh2
-rw-r--r--ice40/main.cc4
4 files changed, 9 insertions, 4 deletions
diff --git a/ecp5/main.cc b/ecp5/main.cc
index 4ae0e27d..24a98df4 100644
--- a/ecp5/main.cc
+++ b/ecp5/main.cc
@@ -220,8 +220,11 @@ std::unique_ptr<Context> ECP5CommandHandler::createContext(std::unordered_map<st
if (chipArgs.type == ArchArgs::NONE)
chipArgs.type = ArchArgs::LFE5U_45F;
- if (chipArgs.package.empty())
+ if (chipArgs.package.empty()) {
chipArgs.package = "CABGA381";
+ log_warning("Use of default value for --package is deprecated. Please add '--package %s' to arguments.\n",
+ chipArgs.package.c_str());
+ }
if (chipArgs.type == ArchArgs::LFE5UM5G_25F || chipArgs.type == ArchArgs::LFE5UM5G_45F ||
chipArgs.type == ArchArgs::LFE5UM5G_85F) {
diff --git a/ice40/examples/blinky/blinky.sh b/ice40/examples/blinky/blinky.sh
index 5dfc1ce8..604c8bf2 100755
--- a/ice40/examples/blinky/blinky.sh
+++ b/ice40/examples/blinky/blinky.sh
@@ -1,7 +1,7 @@
#!/bin/bash
set -ex
yosys blinky.ys
-../../../nextpnr-ice40 --json blinky.json --pcf blinky.pcf --asc blinky.asc
+../../../nextpnr-ice40 --hx1k --package tq144 --json blinky.json --pcf blinky.pcf --asc blinky.asc
icepack blinky.asc blinky.bin
icebox_vlog blinky.asc > blinky_chip.v
iverilog -o blinky_tb blinky_chip.v blinky_tb.v
diff --git a/ice40/examples/floorplan/floorplan.sh b/ice40/examples/floorplan/floorplan.sh
index e0ed7a64..49461f8d 100755
--- a/ice40/examples/floorplan/floorplan.sh
+++ b/ice40/examples/floorplan/floorplan.sh
@@ -1,6 +1,6 @@
#!/usr/bin/env bash
set -ex
yosys -p "synth_ice40 -top top -json floorplan.json" floorplan.v
-../../../nextpnr-ice40 --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py
+../../../nextpnr-ice40 --package sg48 --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py
icepack floorplan.asc floorplan.bin
iceprog floorplan.bin
diff --git a/ice40/main.cc b/ice40/main.cc
index b656f932..5e5bf6ad 100644
--- a/ice40/main.cc
+++ b/ice40/main.cc
@@ -157,7 +157,6 @@ std::unique_ptr<Context> Ice40CommandHandler::createContext(std::unordered_map<s
if (vm.count("package"))
chipArgs.package = vm["package"].as<std::string>();
-
if (values.find("arch.name") != values.end()) {
std::string arch_name = values["arch.name"].as_string();
if (arch_name != "ice40")
@@ -208,6 +207,9 @@ std::unique_ptr<Context> Ice40CommandHandler::createContext(std::unordered_map<s
}
#endif
+ log_warning("Use of default value for --package is deprecated. Please add '--package %s' to arguments.\n",
+ chipArgs.package.c_str());
+
auto ctx = std::unique_ptr<Context>(new Context(chipArgs));
for (auto &val : values)
ctx->settings[ctx->id(val.first)] = val.second;