aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorAlessandro Comodi <acomodi@antmicro.com>2021-03-24 12:00:10 +0100
committerAlessandro Comodi <acomodi@antmicro.com>2021-03-24 15:37:02 +0100
commit1a774a05269f6a0718395cfb9f733242a1a82387 (patch)
treef5ede877ac8de17bc98b10440b6736dd20030a8c
parentb6d2a59fc21970d32e34c7d4b5f70700f82adc3c (diff)
downloadnextpnr-1a774a05269f6a0718395cfb9f733242a1a82387.tar.gz
nextpnr-1a774a05269f6a0718395cfb9f733242a1a82387.tar.bz2
nextpnr-1a774a05269f6a0718395cfb9f733242a1a82387.zip
interchange: examples: remove unused makefiles
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
-rw-r--r--fpga_interchange/examples/common.mk8
-rw-r--r--fpga_interchange/examples/template.mk91
2 files changed, 0 insertions, 99 deletions
diff --git a/fpga_interchange/examples/common.mk b/fpga_interchange/examples/common.mk
deleted file mode 100644
index ce558472..00000000
--- a/fpga_interchange/examples/common.mk
+++ /dev/null
@@ -1,8 +0,0 @@
-NEXTPNR_PATH := $(realpath ../../..)
-NEXTPNR_BIN := $(NEXTPNR_PATH)/build/nextpnr-fpga_interchange
-BBA_PATH := $(realpath ..)/create_bba/build/xc7a35.bin
-
-RAPIDWRIGHT_PATH := $(realpath ..)/create_bba/build/RapidWright
-INTERCHANGE_PATH := $(realpath ..)/create_bba/build/fpga-interchange-schema/interchange
-
-DEVICE := $(realpath ..)/create_bba/build/python-fpga-interchange/xc7a35tcpg236-1_constraints_luts.device
diff --git a/fpga_interchange/examples/template.mk b/fpga_interchange/examples/template.mk
deleted file mode 100644
index c795544e..00000000
--- a/fpga_interchange/examples/template.mk
+++ /dev/null
@@ -1,91 +0,0 @@
-include ../common.mk
-
-.DELETE_ON_ERROR:
-.PHONY: all debug clean netlist_yaml phys_yaml
-
-all: build/$(DESIGN).dcp
-
-build:
- mkdir build
-
-build/$(DESIGN).netlist: build/$(DESIGN).json
- /usr/bin/time -v python3 -mfpga_interchange.yosys_json \
- --schema_dir $(INTERCHANGE_PATH) \
- --device $(DEVICE) \
- --top $(DESIGN_TOP) \
- build/$(DESIGN).json \
- build/$(DESIGN).netlist
-
-build/$(DESIGN)_netlist.yaml: build/$(DESIGN).netlist
- /usr/bin/time -v python3 -mfpga_interchange.convert \
- --schema_dir $(INTERCHANGE_PATH) \
- --schema logical \
- --input_format capnp \
- --output_format yaml \
- build/$(DESIGN).netlist \
- build/$(DESIGN)_netlist.yaml
-
-netlist_yaml: build/$(DESIGN)_netlist.yaml
-
-build/$(DESIGN).phys: build/$(DESIGN).netlist
- $(NEXTPNR_BIN) \
- --chipdb $(BBA_PATH) \
- --xdc $(DESIGN).xdc \
- --netlist build/$(DESIGN).netlist \
- --phys build/$(DESIGN).phys \
- --package $(PACKAGE) \
-
-build/$(DESIGN)_phys.yaml: build/$(DESIGN).phys
- /usr/bin/time -v python3 -mfpga_interchange.convert \
- --schema_dir $(INTERCHANGE_PATH) \
- --schema physical \
- --input_format capnp \
- --output_format yaml \
- build/$(DESIGN).phys \
- build/$(DESIGN)_phys.yaml
-
-phys_yaml: build/$(DESIGN)_phys.yaml
-
-verbose: build/$(DESIGN).netlist
- $(NEXTPNR_BIN) \
- --chipdb $(BBA_PATH) \
- --xdc $(DESIGN).xdc \
- --netlist build/$(DESIGN).netlist \
- --phys build/$(DESIGN).phys \
- --package $(PACKAGE) \
- --verbose
-
-verbose2: build/$(DESIGN).netlist
- $(NEXTPNR_BIN) \
- --chipdb $(BBA_PATH) \
- --xdc $(DESIGN).xdc \
- --netlist build/$(DESIGN).netlist \
- --phys build/$(DESIGN).phys \
- --package $(PACKAGE) \
- --debug
-
-debug: build/$(DESIGN).netlist
- gdb --args $(NEXTPNR_BIN) \
- --chipdb $(BBA_PATH) \
- --xdc $(DESIGN).xdc \
- --netlist build/$(DESIGN).netlist \
- --phys build/$(DESIGN).phys \
- --package $(PACKAGE)
-
-debug_verbose: build/$(DESIGN).netlist
- gdb --args $(NEXTPNR_BIN) \
- --chipdb $(BBA_PATH) \
- --xdc $(DESIGN).xdc \
- --netlist build/$(DESIGN).netlist \
- --phys build/$(DESIGN).phys \
- --package $(PACKAGE) \
- --verbose
-
-build/$(DESIGN).dcp: build/$(DESIGN).netlist build/$(DESIGN).phys $(DESIGN).xdc
- RAPIDWRIGHT_PATH=$(RAPIDWRIGHT_PATH) \
- $(RAPIDWRIGHT_PATH)/scripts/invoke_rapidwright.sh \
- com.xilinx.rapidwright.interchange.PhysicalNetlistToDcp \
- build/$(DESIGN).netlist build/$(DESIGN).phys $(DESIGN).xdc build/$(DESIGN).dcp
-
-clean:
- rm -rf build