aboutsummaryrefslogtreecommitdiffstats
path: root/.cirrus.yml
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-11-27 15:18:53 +0000
committerDavid Shah <dave@ds0.me>2019-11-27 15:18:53 +0000
commit29d4192f6f26fb53802501c74f689cde566453ad (patch)
tree0c5ccbd833e113243f313a401d8da8ef0dd34184 /.cirrus.yml
parent2f56b989598def4682b29ccfe3bbe6f540e4e12a (diff)
downloadnextpnr-29d4192f6f26fb53802501c74f689cde566453ad.tar.gz
nextpnr-29d4192f6f26fb53802501c74f689cde566453ad.tar.bz2
nextpnr-29d4192f6f26fb53802501c74f689cde566453ad.zip
ci: Run generic post-PnR sim smoketest
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to '.cirrus.yml')
-rw-r--r--.cirrus.yml2
1 files changed, 1 insertions, 1 deletions
diff --git a/.cirrus.yml b/.cirrus.yml
index 5cac994e..bdb3c48c 100644
--- a/.cirrus.yml
+++ b/.cirrus.yml
@@ -11,6 +11,6 @@ task:
test_ice40_script: cd build && ./nextpnr-ice40-test
smoketest_ice40_script: export NEXTPNR=$(pwd)/build/nextpnr-ice40 && cd ice40/smoketest/attosoc && ./smoketest.sh
test_ecp5_script: cd build && ./nextpnr-ecp5-test
- smoketest_generic_script: export NEXTPNR=$(pwd)/build/nextpnr-generic && cd generic/examples && ./simple.sh
+ smoketest_generic_script: export NEXTPNR=$(pwd)/build/nextpnr-generic && cd generic/examples && ./simple.sh && ./simtest.sh
regressiontest_ice40_script: make -j $(nproc) -C tests/ice40/regressions NPNR=$(pwd)/build/nextpnr-ice40
regressiontest_ecp5_script: make -j $(nproc) -C tests/ecp5/regressions NPNR=$(pwd)/build/nextpnr-ecp5