aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/regressions/issue0191/testcase.v
blob: d1d725e4506eefd4afeee9cb12c8690bd6f3c3f4 (plain)
1
2
3
4
5
6
`default_nettype none
module test_inout( inout wire io_pin, );
	reg a = 1'b0;
	reg oe = 0;
	assign io_pin = oe ? a : 1'bz;
endmodule