aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0148/ice40.json
diff options
context:
space:
mode:
Diffstat (limited to 'ice40/regressions/issue0148/ice40.json')
-rw-r--r--ice40/regressions/issue0148/ice40.json52198
1 files changed, 0 insertions, 52198 deletions
diff --git a/ice40/regressions/issue0148/ice40.json b/ice40/regressions/issue0148/ice40.json
deleted file mode 100644
index 9e841f5..0000000
--- a/ice40/regressions/issue0148/ice40.json
+++ /dev/null
@@ -1,52198 +0,0 @@
-{
- "creator": "Yosys 0.8+148 (git sha1 e112d2fb, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "hdl/ice40.v:8"
- },
- "ports": {
- "clk12m_in": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "vga_r": {
- "direction": "output",
- "bits": [ 3, 3 ]
- },
- "vga_g": {
- "direction": "output",
- "bits": [ 4, 4 ]
- },
- "vga_b": {
- "direction": "output",
- "bits": [ 5, 5 ]
- },
- "vga_hsync": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "vga_vsync": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "spi_mosi": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "spi_miso": {
- "direction": "output",
- "bits": [ "0" ]
- },
- "spi_clk": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "spi_cs": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "out1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "out2": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 14 ],
- "I2": [ 15 ],
- "I3": [ "0" ],
- "O": [ 16 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 18 ],
- "I2": [ 19 ],
- "I3": [ "0" ],
- "O": [ 13 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 20 ],
- "I1": [ 21 ],
- "I2": [ 22 ],
- "I3": [ 23 ],
- "O": [ 19 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ 25 ],
- "I2": [ 26 ],
- "I3": [ "0" ],
- "O": [ 14 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 27 ],
- "I1": [ 28 ],
- "I2": [ 29 ],
- "I3": [ 30 ],
- "O": [ 31 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 33 ],
- "I2": [ 34 ],
- "I3": [ 35 ],
- "O": [ 27 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ 37 ],
- "I2": [ 38 ],
- "I3": [ 39 ],
- "O": [ 34 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 41 ],
- "I2": [ 42 ],
- "I3": [ "0" ],
- "O": [ 43 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 45 ],
- "I2": [ 46 ],
- "I3": [ 47 ],
- "O": [ 40 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7680
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 49 ],
- "I2": [ 50 ],
- "I3": [ 51 ],
- "O": [ 44 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 52 ],
- "I1": [ 53 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 48 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 55 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 52 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 58 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 56 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 63 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 59 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 62 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ "0" ],
- "O": [ 66 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 71 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 63 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 73 ],
- "I2": [ 74 ],
- "I3": [ "0" ],
- "O": [ 61 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 77 ],
- "O": [ 72 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 78 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 77 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 63 ],
- "O": [ 73 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 74 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 84 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 83 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 86 ],
- "I2": [ 87 ],
- "I3": [ "0" ],
- "O": [ 60 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 85 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 77 ],
- "O": [ 86 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 88 ],
- "O": [ 87 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 89 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 88 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 91 ],
- "I2": [ 92 ],
- "I3": [ 93 ],
- "O": [ 57 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 86 ],
- "I2": [ 87 ],
- "I3": [ "0" ],
- "O": [ 90 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 88 ],
- "I2": [ 95 ],
- "I3": [ "0" ],
- "O": [ 91 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 94 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 96 ],
- "O": [ 95 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 97 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 96 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 77 ],
- "I3": [ 63 ],
- "O": [ 92 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 98 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 93 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 144
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ 101 ],
- "I3": [ "0" ],
- "O": [ 55 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 26880
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 61 ],
- "I2": [ 60 ],
- "I3": [ 102 ],
- "O": [ 101 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 73 ],
- "I1": [ 74 ],
- "I2": [ 72 ],
- "I3": [ 103 ],
- "O": [ 102 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 63 ],
- "O": [ 103 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 105 ],
- "I2": [ 106 ],
- "I3": [ "0" ],
- "O": [ 54 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 107 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 104 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 66 ],
- "I3": [ 77 ],
- "O": [ 107 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 92 ],
- "I2": [ 91 ],
- "I3": [ 93 ],
- "O": [ 105 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 108 ],
- "I1": [ 109 ],
- "I2": [ 110 ],
- "I3": [ "0" ],
- "O": [ 106 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 93 ],
- "I1": [ 94 ],
- "I2": [ 95 ],
- "I3": [ 88 ],
- "O": [ 108 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 112 ],
- "I2": [ 113 ],
- "I3": [ "0" ],
- "O": [ 109 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 96 ],
- "O": [ 111 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 88 ],
- "O": [ 112 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 114 ],
- "O": [ 113 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 115 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 114 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 110 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 119 ],
- "I2": [ 66 ],
- "I3": [ 63 ],
- "O": [ 116 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 117 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 54 ],
- "I2": [ 120 ],
- "I3": [ 121 ],
- "O": [ 53 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 106 ],
- "I2": [ 105 ],
- "I3": [ "0" ],
- "O": [ 120 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 123 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 121 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 108 ],
- "I1": [ 109 ],
- "I2": [ 110 ],
- "I3": [ "0" ],
- "O": [ 122 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 126 ],
- "I2": [ 127 ],
- "I3": [ "0" ],
- "O": [ 123 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 112 ],
- "I2": [ 113 ],
- "I3": [ "0" ],
- "O": [ 125 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 128 ],
- "I1": [ 129 ],
- "I2": [ 130 ],
- "I3": [ "0" ],
- "O": [ 126 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 114 ],
- "O": [ 128 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 96 ],
- "O": [ 129 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 131 ],
- "O": [ 130 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 132 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 131 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 134 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 127 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 133 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 119 ],
- "I2": [ 66 ],
- "I3": [ 77 ],
- "O": [ 134 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 66 ],
- "I3": [ 88 ],
- "O": [ 135 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 63 ],
- "I2": [ 137 ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 137 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 139 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 136 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ 141 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 50 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 120 ],
- "I1": [ 121 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 140 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 143 ],
- "I2": [ 144 ],
- "I3": [ "0" ],
- "O": [ 141 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 123 ],
- "I2": [ 124 ],
- "I3": [ "0" ],
- "O": [ 142 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 145 ],
- "I1": [ 146 ],
- "I2": [ 147 ],
- "I3": [ "0" ],
- "O": [ 143 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 126 ],
- "I2": [ 127 ],
- "I3": [ "0" ],
- "O": [ 145 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 149 ],
- "I2": [ 150 ],
- "I3": [ "0" ],
- "O": [ 146 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 128 ],
- "I1": [ 129 ],
- "I2": [ 130 ],
- "I3": [ "0" ],
- "O": [ 148 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 151 ],
- "I1": [ 152 ],
- "I2": [ 153 ],
- "I3": [ "0" ],
- "O": [ 149 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 66 ],
- "I3": [ 131 ],
- "O": [ 151 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ 114 ],
- "O": [ 152 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ 154 ],
- "O": [ 153 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 155 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 154 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 157 ],
- "I2": [ 158 ],
- "I3": [ "0" ],
- "O": [ 150 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 100 ],
- "I2": [ 66 ],
- "I3": [ 88 ],
- "O": [ 156 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 119 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 157 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 66 ],
- "I3": [ 96 ],
- "O": [ 158 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 63 ],
- "I2": [ 160 ],
- "I3": [ 161 ],
- "O": [ 147 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 134 ],
- "I2": [ 135 ],
- "I3": [ "0" ],
- "O": [ 160 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 161 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 163 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 159 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 136 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 144 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 121 ],
- "I1": [ 58 ],
- "I2": [ 54 ],
- "I3": [ "0" ],
- "O": [ 49 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 51 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 154 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 167 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 168 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 172 ],
- "O": [ 173 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 171 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 165 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 170 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 166 ],
- "I2": [ 165 ],
- "I3": [ "0" ],
- "O": [ 174 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 163 ],
- "I1": [ 162 ],
- "I2": [ 66 ],
- "I3": [ 154 ],
- "O": [ 175 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 166 ],
- "I2": [ 164 ],
- "I3": [ "0" ],
- "O": [ 176 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 178 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 47 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 180 ],
- "I2": [ 181 ],
- "I3": [ 182 ],
- "O": [ 42 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 183 ],
- "I1": [ 184 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 179 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32085
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 52 ],
- "I2": [ 53 ],
- "I3": [ 51 ],
- "O": [ 184 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 186 ],
- "I2": [ 187 ],
- "I3": [ 188 ],
- "O": [ 185 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 131 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 186 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 136 ],
- "I3": [ 131 ],
- "O": [ 187 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 189 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 190 ],
- "O": [ 188 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 191 ],
- "I1": [ 192 ],
- "I2": [ 193 ],
- "I3": [ 194 ],
- "O": [ 182 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44115
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 196 ],
- "I2": [ 197 ],
- "I3": [ 198 ],
- "O": [ 194 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 199 ],
- "I1": [ 200 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ 202 ],
- "I2": [ 203 ],
- "I3": [ 204 ],
- "O": [ 199 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$8999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 205 ],
- "I1": [ 206 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 201 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7680
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 208 ],
- "I2": [ 209 ],
- "I3": [ 176 ],
- "O": [ 210 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 211 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 209 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 212 ],
- "O": [ 207 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ 213 ],
- "O": [ 208 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 207 ],
- "I3": [ 168 ],
- "O": [ 214 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 207 ],
- "I2": [ 174 ],
- "I3": [ 209 ],
- "O": [ 215 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 217 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 202 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7680
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 219 ],
- "I2": [ 220 ],
- "I3": [ 176 ],
- "O": [ 221 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 222 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 220 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 223 ],
- "O": [ 218 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ 224 ],
- "O": [ 219 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 219 ],
- "I1": [ 220 ],
- "I2": [ 218 ],
- "I3": [ 168 ],
- "O": [ 225 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 219 ],
- "I1": [ 218 ],
- "I2": [ 174 ],
- "I3": [ 220 ],
- "O": [ 226 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 227 ],
- "I1": [ 228 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 203 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7680
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 229 ],
- "I1": [ 230 ],
- "I2": [ 231 ],
- "I3": [ 176 ],
- "O": [ 232 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 233 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 231 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 234 ],
- "O": [ 229 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ 235 ],
- "O": [ 230 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 231 ],
- "I2": [ 229 ],
- "I3": [ 168 ],
- "O": [ 236 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 229 ],
- "I2": [ 174 ],
- "I3": [ 231 ],
- "O": [ 237 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 238 ],
- "I1": [ 239 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 204 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7680
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 240 ],
- "I1": [ 241 ],
- "I2": [ 242 ],
- "I3": [ 176 ],
- "O": [ 243 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 244 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 242 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 245 ],
- "O": [ 240 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ 246 ],
- "O": [ 241 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 242 ],
- "I2": [ 240 ],
- "I3": [ 168 ],
- "O": [ 247 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 57344
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 240 ],
- "I2": [ 174 ],
- "I3": [ 242 ],
- "O": [ 248 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 250 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 200 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 251 ],
- "I1": [ 252 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 250 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 254 ],
- "I1": [ 255 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 252 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 257 ],
- "I1": [ 258 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 198 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32085
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 259 ],
- "I1": [ 260 ],
- "I2": [ 102 ],
- "I3": [ 51 ],
- "O": [ 258 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 61 ],
- "I2": [ 60 ],
- "I3": [ "0" ],
- "O": [ 260 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 135 ],
- "I2": [ 261 ],
- "I3": [ 262 ],
- "O": [ 259 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 62 ],
- "I3": [ 88 ],
- "O": [ 261 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 263 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 264 ],
- "O": [ 262 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 265 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 195 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 268 ],
- "I1": [ 269 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 267 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 271 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 191 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 272 ],
- "I1": [ 273 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 271 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 274 ],
- "I1": [ 275 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 273 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 276 ],
- "I1": [ 277 ],
- "I2": [ 278 ],
- "I3": [ 279 ],
- "O": [ 193 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 281 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 276 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 283 ],
- "I2": [ 284 ],
- "I3": [ 285 ],
- "O": [ 281 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46080
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 63 ],
- "I2": [ 286 ],
- "I3": [ 51 ],
- "O": [ 282 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 286 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 287 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 174 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 283 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 94 ],
- "I3": [ 77 ],
- "O": [ 284 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 288 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 289 ],
- "O": [ 285 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ 291 ],
- "I2": [ 199 ],
- "I3": [ 200 ],
- "O": [ 277 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ 293 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 290 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 256 ],
- "I1": [ 274 ],
- "I2": [ 294 ],
- "I3": [ "0" ],
- "O": [ 293 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 296 ],
- "I2": [ 199 ],
- "I3": [ 200 ],
- "O": [ 278 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 297 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 295 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 298 ],
- "I1": [ 299 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 297 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 300 ],
- "I1": [ 301 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 299 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 302 ],
- "I1": [ 303 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 279 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63743
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 51 ],
- "I1": [ 304 ],
- "I2": [ 305 ],
- "I3": [ 306 ],
- "O": [ 303 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 72 ],
- "I2": [ 73 ],
- "I3": [ 74 ],
- "O": [ 304 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 307 ],
- "I3": [ 83 ],
- "O": [ 305 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 307 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 93 ],
- "I2": [ 308 ],
- "I3": [ "0" ],
- "O": [ 306 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 309 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 310 ],
- "O": [ 308 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 311 ],
- "I1": [ 312 ],
- "I2": [ 313 ],
- "I3": [ 47 ],
- "O": [ 192 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 314 ],
- "I1": [ 315 ],
- "I2": [ 316 ],
- "I3": [ "0" ],
- "O": [ 311 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 317 ],
- "I1": [ 318 ],
- "I2": [ 319 ],
- "I3": [ 320 ],
- "O": [ 314 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 321 ],
- "I1": [ 322 ],
- "I2": [ 323 ],
- "I3": [ 324 ],
- "O": [ 317 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 240 ],
- "I1": [ 241 ],
- "I2": [ 242 ],
- "I3": [ "0" ],
- "O": [ 321 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 118 ],
- "I2": [ 66 ],
- "I3": [ 114 ],
- "O": [ 322 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 99 ],
- "I2": [ 66 ],
- "I3": [ 96 ],
- "O": [ 323 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 79 ],
- "I2": [ 66 ],
- "I3": [ 83 ],
- "O": [ 324 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 325 ],
- "I1": [ 326 ],
- "I2": [ 327 ],
- "I3": [ 328 ],
- "O": [ 318 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 208 ],
- "I2": [ 209 ],
- "I3": [ "0" ],
- "O": [ 325 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 219 ],
- "I2": [ 220 ],
- "I3": [ "0" ],
- "O": [ 326 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 229 ],
- "I1": [ 230 ],
- "I2": [ 231 ],
- "I3": [ "0" ],
- "O": [ 327 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 139 ],
- "I1": [ 138 ],
- "I2": [ 66 ],
- "I3": [ 131 ],
- "O": [ 328 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 329 ],
- "I2": [ 330 ],
- "I3": [ 331 ],
- "O": [ 319 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 332 ],
- "I1": [ 333 ],
- "I2": [ 66 ],
- "I3": [ 334 ],
- "O": [ 329 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 335 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 334 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 337 ],
- "I2": [ 66 ],
- "I3": [ 338 ],
- "O": [ 330 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 339 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 338 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 81 ],
- "I2": [ 66 ],
- "I3": [ 63 ],
- "O": [ 331 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 340 ],
- "I1": [ 341 ],
- "I2": [ 342 ],
- "I3": [ 343 ],
- "O": [ 320 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 64 ],
- "I2": [ 66 ],
- "I3": [ 88 ],
- "O": [ 340 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 76 ],
- "I1": [ 75 ],
- "I2": [ 66 ],
- "I3": [ 77 ],
- "O": [ 341 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 344 ],
- "I1": [ 345 ],
- "I2": [ 66 ],
- "I3": [ 346 ],
- "O": [ 342 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 347 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 346 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21420
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 348 ],
- "I1": [ 349 ],
- "I2": [ 66 ],
- "I3": [ 350 ],
- "O": [ 343 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 351 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 350 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 164 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 315 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 314 ],
- "I1": [ 316 ],
- "I2": [ 352 ],
- "I3": [ 353 ],
- "O": [ 312 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 331 ],
- "I2": [ 354 ],
- "I3": [ 355 ],
- "O": [ 353 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 170 ],
- "I1": [ 356 ],
- "I2": [ 103 ],
- "I3": [ 174 ],
- "O": [ 354 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 63 ],
- "I1": [ 287 ],
- "I2": [ 168 ],
- "I3": [ 357 ],
- "O": [ 355 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 358 ],
- "I1": [ 171 ],
- "I2": [ 51 ],
- "I3": [ 359 ],
- "O": [ 357 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 165 ],
- "I2": [ 164 ],
- "I3": [ "0" ],
- "O": [ 352 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36873
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 361 ],
- "I2": [ 362 ],
- "I3": [ 363 ],
- "O": [ 181 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61627
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 365 ],
- "I2": [ 366 ],
- "I3": [ 47 ],
- "O": [ 360 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38400
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 55 ],
- "I2": [ 54 ],
- "I3": [ 51 ],
- "O": [ 364 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 367 ],
- "I2": [ 368 ],
- "I3": [ 369 ],
- "O": [ 365 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 367 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 119 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 370 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 370 ],
- "I3": [ 114 ],
- "O": [ 368 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 371 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 372 ],
- "O": [ 369 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 373 ],
- "I1": [ 374 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 361 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 375 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 374 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 377 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 375 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 378 ],
- "I1": [ 379 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 377 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 380 ],
- "I1": [ 381 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 362 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32085
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 383 ],
- "I2": [ 101 ],
- "I3": [ 51 ],
- "O": [ 381 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ 57 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 383 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 384 ],
- "I2": [ 385 ],
- "I3": [ 386 ],
- "O": [ 382 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 96 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 384 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60430
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 168 ],
- "I2": [ 98 ],
- "I3": [ 96 ],
- "O": [ 385 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 387 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 388 ],
- "O": [ 386 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 389 ],
- "I1": [ 390 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 363 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 391 ],
- "I1": [ 392 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 390 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 393 ],
- "I1": [ 394 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 392 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 395 ],
- "I1": [ 396 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 180 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 397 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 396 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 398 ],
- "I1": [ 399 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 397 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 400 ],
- "I1": [ 401 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 399 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 403 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 41 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 404 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 403 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 405 ],
- "I1": [ 406 ],
- "I2": [ 253 ],
- "I3": [ "0" ],
- "O": [ 404 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 407 ],
- "I1": [ 408 ],
- "I2": [ 256 ],
- "I3": [ "0" ],
- "O": [ 406 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 410 ],
- "I2": [ 411 ],
- "I3": [ 412 ],
- "O": [ 413 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 414 ],
- "I1": [ 415 ],
- "I2": [ 416 ],
- "I3": [ 417 ],
- "O": [ 409 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 418 ],
- "I1": [ 419 ],
- "I2": [ 420 ],
- "I3": [ 421 ],
- "O": [ 410 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 422 ],
- "I1": [ 423 ],
- "I2": [ 424 ],
- "I3": [ 425 ],
- "O": [ 411 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 426 ],
- "I1": [ 427 ],
- "I2": [ 428 ],
- "I3": [ 429 ],
- "O": [ 412 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 430 ],
- "I2": [ 431 ],
- "I3": [ "0" ],
- "O": [ 432 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 433 ],
- "I2": [ 434 ],
- "I3": [ 435 ],
- "O": [ 431 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 436 ],
- "I2": [ 437 ],
- "I3": [ 438 ],
- "O": [ 435 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 439 ],
- "I1": [ 374 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 436 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 199 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 440 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 192 ],
- "I1": [ 441 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 437 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 442 ],
- "I1": [ 443 ],
- "I2": [ 200 ],
- "I3": [ 199 ],
- "O": [ 441 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 271 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 442 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44115
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ 445 ],
- "I2": [ 440 ],
- "I3": [ 276 ],
- "O": [ 438 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 290 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 444 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36864
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 362 ],
- "I1": [ 446 ],
- "I2": [ 447 ],
- "I3": [ 448 ],
- "O": [ 434 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 390 ],
- "I2": [ 449 ],
- "I3": [ 440 ],
- "O": [ 446 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44115
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 450 ],
- "I2": [ 440 ],
- "I3": [ 198 ],
- "O": [ 447 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44115
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 451 ],
- "I2": [ 440 ],
- "I3": [ 279 ],
- "O": [ 448 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 452 ],
- "I1": [ 396 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 433 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 403 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 430 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 454 ],
- "I1": [ 455 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 456 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 457 ],
- "I2": [ 458 ],
- "I3": [ 459 ],
- "O": [ 454 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47787
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 457 ],
- "I2": [ 458 ],
- "I3": [ 459 ],
- "O": [ 460 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 10 ],
- "I1": [ 461 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 462 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 10 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 464 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 461 ],
- "I1": [ 465 ],
- "I2": [ 466 ],
- "I3": [ 467 ],
- "O": [ 463 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 10 ],
- "I1": [ 463 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 468 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 413 ],
- "I1": [ 418 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 469 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 470 ],
- "I1": [ 471 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 472 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ 474 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 470 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 471 ],
- "I1": [ 470 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 475 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 313 ],
- "I1": [ 472 ],
- "I2": [ 476 ],
- "I3": [ "0" ],
- "O": [ 477 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 471 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 476 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ 478 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 479 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 28 ],
- "I1": [ 29 ],
- "I2": [ 480 ],
- "I3": [ 481 ],
- "O": [ 478 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 35 ],
- "I2": [ 32 ],
- "I3": [ 33 ],
- "O": [ 480 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 38 ],
- "I1": [ 37 ],
- "I2": [ 30 ],
- "I3": [ 36 ],
- "O": [ 481 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 13 ],
- "I1": [ 482 ],
- "I2": [ 16 ],
- "I3": [ 31 ],
- "O": [ 483 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ 25 ],
- "I2": [ 15 ],
- "I3": [ 26 ],
- "O": [ 482 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 485 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 486 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 487 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 488 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 489 ],
- "I2": [ 487 ],
- "I3": [ "0" ],
- "O": [ 490 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 311 ],
- "I1": [ 312 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 491 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 365 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 492 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 45 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 493 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 495 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 496 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 497 ],
- "I1": [ 170 ],
- "I2": [ 498 ],
- "I3": [ 499 ],
- "O": [ 494 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 350 ],
- "I1": [ 500 ],
- "I2": [ 168 ],
- "I3": [ "0" ],
- "O": [ 498 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 349 ],
- "I1": [ 348 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 500 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 501 ],
- "I1": [ 171 ],
- "I2": [ 51 ],
- "I3": [ 502 ],
- "O": [ 499 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13811
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 176 ],
- "I2": [ 500 ],
- "I3": [ 350 ],
- "O": [ 495 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 496 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 504 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 505 ],
- "I1": [ 506 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 507 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 51 ],
- "I2": [ 509 ],
- "I3": [ 510 ],
- "O": [ 505 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ 511 ],
- "I2": [ 168 ],
- "I3": [ "0" ],
- "O": [ 509 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 345 ],
- "I1": [ 344 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 511 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 513 ],
- "O": [ 510 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13811
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 176 ],
- "I2": [ 511 ],
- "I3": [ 346 ],
- "O": [ 506 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 514 ],
- "I1": [ 507 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 515 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 516 ],
- "I1": [ 517 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 518 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 519 ],
- "I1": [ 51 ],
- "I2": [ 520 ],
- "I3": [ 521 ],
- "O": [ 516 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ 522 ],
- "I2": [ 168 ],
- "I3": [ "0" ],
- "O": [ 520 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 337 ],
- "I1": [ 336 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 522 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 523 ],
- "I1": [ 170 ],
- "I2": [ 171 ],
- "I3": [ 524 ],
- "O": [ 521 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13811
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 176 ],
- "I2": [ 522 ],
- "I3": [ 338 ],
- "O": [ 517 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 525 ],
- "I1": [ 518 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 526 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ 527 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 528 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 76 ],
- "I1": [ 529 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 530 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 531 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 532 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 533 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 534 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 100 ],
- "I1": [ 535 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 536 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 537 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 538 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 139 ],
- "I1": [ 539 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 540 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 163 ],
- "I1": [ 541 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 542 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 348 ],
- "I1": [ 543 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 544 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 344 ],
- "I1": [ 545 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 546 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 547 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 548 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 332 ],
- "I1": [ 549 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 550 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 551 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 552 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 553 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 554 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 234 ],
- "I1": [ 555 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 556 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 245 ],
- "I1": [ 557 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 558 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 559 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 4 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 559 ],
- "I1": [ 249 ],
- "I2": [ 485 ],
- "I3": [ "0" ],
- "O": [ 3 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 559 ],
- "I1": [ 249 ],
- "I2": [ 485 ],
- "I3": [ "0" ],
- "O": [ 5 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 459 ],
- "I1": [ 560 ],
- "I2": [ 458 ],
- "I3": [ "0" ],
- "O": [ 561 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 457 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 560 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 459 ],
- "I1": [ 562 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 563 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 458 ],
- "I1": [ 560 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 562 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 562 ],
- "I1": [ 459 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 564 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 459 ],
- "I2": [ 457 ],
- "I3": [ 458 ],
- "O": [ 565 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 333 ],
- "I1": [ 332 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 566 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 219 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 208 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 568 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 229 ],
- "I1": [ 230 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 569 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 240 ],
- "I1": [ 241 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 570 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 571 ],
- "I1": [ 572 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 574 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 562 ],
- "I1": [ 575 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 573 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 576 ],
- "I1": [ 577 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 578 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 579 ],
- "I2": [ 454 ],
- "I3": [ 580 ],
- "O": [ 576 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 581 ],
- "I2": [ 454 ],
- "I3": [ 562 ],
- "O": [ 580 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3959
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 582 ],
- "I1": [ 575 ],
- "I2": [ 583 ],
- "I3": [ 562 ],
- "O": [ 577 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 584 ],
- "I1": [ 573 ],
- "I2": [ 585 ],
- "I3": [ 586 ],
- "O": [ 587 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 588 ],
- "I2": [ 454 ],
- "I3": [ 580 ],
- "O": [ 586 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 562 ],
- "I1": [ 581 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 585 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65528
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 589 ],
- "I1": [ 573 ],
- "I2": [ 585 ],
- "I3": [ 590 ],
- "O": [ 591 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 592 ],
- "I2": [ 454 ],
- "I3": [ 580 ],
- "O": [ 590 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 593 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 594 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 595 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 596 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 597 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 598 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 599 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 600 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 601 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 602 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 603 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 604 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 605 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 606 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 607 ],
- "I2": [ 573 ],
- "I3": [ "0" ],
- "O": [ 608 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 583 ],
- "I1": [ 609 ],
- "I2": [ 561 ],
- "I3": [ "0" ],
- "O": [ 610 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 611 ],
- "I2": [ 561 ],
- "I3": [ "0" ],
- "O": [ 612 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 583 ],
- "I2": [ 561 ],
- "I3": [ "0" ],
- "O": [ 613 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 579 ],
- "I2": [ 561 ],
- "I3": [ "0" ],
- "O": [ 614 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 615 ],
- "I1": [ 459 ],
- "I2": [ 455 ],
- "I3": [ "0" ],
- "O": [ 616 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 571 ],
- "I1": [ 458 ],
- "I2": [ 455 ],
- "I3": [ "0" ],
- "O": [ 617 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 457 ],
- "I2": [ 455 ],
- "I3": [ "0" ],
- "O": [ 618 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 619 ],
- "I1": [ 620 ],
- "I2": [ 621 ],
- "I3": [ 622 ],
- "O": [ 623 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 624 ],
- "I1": [ 611 ],
- "I2": [ 564 ],
- "I3": [ "0" ],
- "O": [ 625 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 626 ],
- "I1": [ 583 ],
- "I2": [ 564 ],
- "I3": [ "0" ],
- "O": [ 627 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 628 ],
- "I1": [ 629 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 619 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 630 ],
- "I1": [ 631 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 620 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 632 ],
- "I1": [ 609 ],
- "I2": [ 564 ],
- "I3": [ "0" ],
- "O": [ 622 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 633 ],
- "I1": [ 634 ],
- "I2": [ 635 ],
- "I3": [ 622 ],
- "O": [ 636 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 637 ],
- "I1": [ 638 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 633 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ 640 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 634 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ 642 ],
- "I2": [ 643 ],
- "I3": [ 622 ],
- "O": [ 644 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 645 ],
- "I1": [ 646 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 641 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 648 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 642 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 649 ],
- "I1": [ 650 ],
- "I2": [ 651 ],
- "I3": [ 622 ],
- "O": [ 652 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 653 ],
- "I1": [ 654 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 649 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 655 ],
- "I1": [ 656 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 650 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 657 ],
- "I1": [ 658 ],
- "I2": [ 659 ],
- "I3": [ 622 ],
- "O": [ 660 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 661 ],
- "I1": [ 662 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 657 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 663 ],
- "I1": [ 664 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 658 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 665 ],
- "I1": [ 666 ],
- "I2": [ 667 ],
- "I3": [ 622 ],
- "O": [ 668 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 669 ],
- "I1": [ 670 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 665 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 671 ],
- "I1": [ 672 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 666 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 673 ],
- "I1": [ 674 ],
- "I2": [ 675 ],
- "I3": [ 622 ],
- "O": [ 676 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 677 ],
- "I1": [ 678 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 673 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 679 ],
- "I1": [ 680 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 674 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 681 ],
- "I1": [ 682 ],
- "I2": [ 683 ],
- "I3": [ 622 ],
- "O": [ 684 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 685 ],
- "I1": [ 686 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 681 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 687 ],
- "I1": [ 688 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 682 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 689 ],
- "I1": [ 690 ],
- "I2": [ 691 ],
- "I3": [ 622 ],
- "O": [ 692 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 693 ],
- "I1": [ 694 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 689 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 695 ],
- "I1": [ 696 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 690 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 697 ],
- "I1": [ 698 ],
- "I2": [ 699 ],
- "I3": [ 622 ],
- "O": [ 700 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 701 ],
- "I1": [ 702 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 697 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 703 ],
- "I1": [ 704 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 698 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 705 ],
- "I1": [ 706 ],
- "I2": [ 707 ],
- "I3": [ 622 ],
- "O": [ 708 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 709 ],
- "I1": [ 710 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 705 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 711 ],
- "I1": [ 712 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 706 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 713 ],
- "I1": [ 714 ],
- "I2": [ 715 ],
- "I3": [ 622 ],
- "O": [ 716 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 717 ],
- "I1": [ 718 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 713 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 720 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 714 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 721 ],
- "I1": [ 722 ],
- "I2": [ 723 ],
- "I3": [ 622 ],
- "O": [ 724 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 725 ],
- "I1": [ 726 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 721 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 727 ],
- "I1": [ 728 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 722 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 729 ],
- "I1": [ 730 ],
- "I2": [ 731 ],
- "I3": [ 622 ],
- "O": [ 732 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 733 ],
- "I1": [ 734 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 729 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 735 ],
- "I1": [ 736 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 730 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 737 ],
- "I1": [ 738 ],
- "I2": [ 739 ],
- "I3": [ 622 ],
- "O": [ 740 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 741 ],
- "I1": [ 742 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 737 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 743 ],
- "I1": [ 744 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 738 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 745 ],
- "I1": [ 746 ],
- "I2": [ 747 ],
- "I3": [ 622 ],
- "O": [ 748 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 749 ],
- "I1": [ 750 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 745 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 751 ],
- "I1": [ 752 ],
- "I2": [ 627 ],
- "I3": [ 625 ],
- "O": [ 746 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 753 ],
- "I1": [ 754 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 755 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 756 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 757 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 758 ],
- "I1": [ 759 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 760 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 761 ],
- "I1": [ 762 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 763 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 764 ],
- "I1": [ 765 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 766 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 767 ],
- "I1": [ 768 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 769 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 770 ],
- "I1": [ 771 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 772 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 774 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 775 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 776 ],
- "I1": [ 777 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 778 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 779 ],
- "I1": [ 780 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 781 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 783 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 784 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 785 ],
- "I1": [ 786 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 787 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 788 ],
- "I1": [ 789 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 790 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 791 ],
- "I1": [ 792 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 793 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 794 ],
- "I1": [ 795 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 796 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 797 ],
- "I1": [ 798 ],
- "I2": [ 470 ],
- "I3": [ "0" ],
- "O": [ 799 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 445 ],
- "I1": [ 444 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 800 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 451 ],
- "I1": [ 295 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 801 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 195 ],
- "I2": [ 440 ],
- "I3": [ "0" ],
- "O": [ 802 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 804 ],
- "I2": [ 805 ],
- "I3": [ 588 ],
- "O": [ 806 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 807 ],
- "I1": [ 808 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 803 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 630 ],
- "I1": [ 628 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 804 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 809 ],
- "I1": [ 810 ],
- "I2": [ 811 ],
- "I3": [ 588 ],
- "O": [ 812 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 813 ],
- "I1": [ 814 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 809 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ 637 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 810 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 815 ],
- "I1": [ 816 ],
- "I2": [ 817 ],
- "I3": [ 588 ],
- "O": [ 818 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 819 ],
- "I1": [ 820 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 815 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 645 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 816 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 821 ],
- "I1": [ 822 ],
- "I2": [ 823 ],
- "I3": [ 588 ],
- "O": [ 824 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 825 ],
- "I1": [ 826 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 821 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 655 ],
- "I1": [ 653 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 822 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 827 ],
- "I1": [ 828 ],
- "I2": [ 829 ],
- "I3": [ 588 ],
- "O": [ 830 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 831 ],
- "I1": [ 832 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 827 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 663 ],
- "I1": [ 661 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 828 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 833 ],
- "I1": [ 834 ],
- "I2": [ 835 ],
- "I3": [ 588 ],
- "O": [ 836 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 837 ],
- "I1": [ 838 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 833 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 671 ],
- "I1": [ 669 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 834 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 840 ],
- "I2": [ 841 ],
- "I3": [ 588 ],
- "O": [ 842 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 843 ],
- "I1": [ 844 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 839 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 679 ],
- "I1": [ 677 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 840 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 845 ],
- "I1": [ 846 ],
- "I2": [ 847 ],
- "I3": [ 588 ],
- "O": [ 848 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 849 ],
- "I1": [ 850 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 845 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 687 ],
- "I1": [ 685 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 846 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 852 ],
- "I2": [ 853 ],
- "I3": [ 588 ],
- "O": [ 854 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 856 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 851 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 695 ],
- "I1": [ 693 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 852 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 857 ],
- "I1": [ 858 ],
- "I2": [ 859 ],
- "I3": [ 588 ],
- "O": [ 860 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 861 ],
- "I1": [ 862 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 857 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 703 ],
- "I1": [ 701 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 858 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 863 ],
- "I1": [ 864 ],
- "I2": [ 865 ],
- "I3": [ 588 ],
- "O": [ 866 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 867 ],
- "I1": [ 868 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 863 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 711 ],
- "I1": [ 709 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 864 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 869 ],
- "I1": [ 870 ],
- "I2": [ 871 ],
- "I3": [ 588 ],
- "O": [ 872 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 873 ],
- "I1": [ 874 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 869 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 717 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 870 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 875 ],
- "I1": [ 876 ],
- "I2": [ 877 ],
- "I3": [ 588 ],
- "O": [ 878 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 879 ],
- "I1": [ 880 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 875 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 727 ],
- "I1": [ 725 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 876 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 881 ],
- "I1": [ 882 ],
- "I2": [ 883 ],
- "I3": [ 588 ],
- "O": [ 884 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 885 ],
- "I1": [ 886 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 881 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 735 ],
- "I1": [ 733 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 882 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 887 ],
- "I1": [ 888 ],
- "I2": [ 889 ],
- "I3": [ 588 ],
- "O": [ 890 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 891 ],
- "I1": [ 892 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 887 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 743 ],
- "I1": [ 741 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 888 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60943
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 893 ],
- "I1": [ 894 ],
- "I2": [ 895 ],
- "I3": [ 588 ],
- "O": [ 896 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 897 ],
- "I1": [ 898 ],
- "I2": [ 592 ],
- "I3": [ 579 ],
- "O": [ 893 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 751 ],
- "I1": [ 749 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 894 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 196 ],
- "I1": [ 195 ],
- "I2": [ 197 ],
- "I3": [ "0" ],
- "O": [ 899 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ 24 ],
- "I2": [ 26 ],
- "I3": [ "0" ],
- "O": [ 900 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 901 ],
- "I2": [ 17 ],
- "I3": [ 31 ],
- "O": [ 902 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 903 ],
- "I2": [ 25 ],
- "I3": [ 31 ],
- "O": [ 904 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 905 ],
- "I2": [ 20 ],
- "I3": [ 31 ],
- "O": [ 906 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 907 ],
- "I2": [ 21 ],
- "I3": [ 31 ],
- "O": [ 908 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 909 ],
- "I2": [ 22 ],
- "I3": [ 31 ],
- "O": [ 910 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 911 ],
- "I2": [ 23 ],
- "I3": [ 31 ],
- "O": [ 912 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 913 ],
- "I2": [ 18 ],
- "I3": [ 31 ],
- "O": [ 914 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 14 ],
- "I1": [ 915 ],
- "I2": [ 916 ],
- "I3": [ 917 ],
- "O": [ 918 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ 28 ],
- "I2": [ 29 ],
- "I3": [ 27 ],
- "O": [ 916 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 919 ],
- "I1": [ 17 ],
- "I2": [ 18 ],
- "I3": [ "0" ],
- "O": [ 915 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 20 ],
- "I1": [ 21 ],
- "I2": [ 22 ],
- "I3": [ 23 ],
- "O": [ 919 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ 920 ],
- "I2": [ 921 ],
- "I3": [ 922 ],
- "O": [ 917 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 923 ],
- "I1": [ 924 ],
- "I2": [ 925 ],
- "I3": [ "0" ],
- "O": [ 926 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 927 ],
- "I1": [ 485 ],
- "I2": [ 489 ],
- "I3": [ "0" ],
- "O": [ 925 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 928 ],
- "I1": [ 929 ],
- "I2": [ 930 ],
- "I3": [ "0" ],
- "O": [ 927 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 926 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 931 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 120
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 925 ],
- "I1": [ 923 ],
- "I2": [ 932 ],
- "I3": [ "0" ],
- "O": [ 933 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 933 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 934 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 935 ],
- "I1": [ 936 ],
- "I2": [ 925 ],
- "I3": [ "0" ],
- "O": [ 937 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 937 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 938 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 939 ],
- "I1": [ 940 ],
- "I2": [ 925 ],
- "I3": [ "0" ],
- "O": [ 941 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 941 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 942 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 943 ],
- "I1": [ 944 ],
- "I2": [ 925 ],
- "I3": [ "0" ],
- "O": [ 945 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 945 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 946 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 947 ],
- "I1": [ 948 ],
- "I2": [ 925 ],
- "I3": [ "0" ],
- "O": [ 949 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 949 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 950 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 951 ],
- "I1": [ 952 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 953 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 954 ],
- "I1": [ 955 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 956 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 957 ],
- "I1": [ 955 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 958 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 959 ],
- "I1": [ 960 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 961 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 962 ],
- "I1": [ 960 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 963 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 964 ],
- "I1": [ 965 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 966 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 967 ],
- "I1": [ 965 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 968 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 969 ],
- "I1": [ 970 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 971 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 972 ],
- "I1": [ 970 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 973 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 974 ],
- "I1": [ 975 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 976 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 977 ],
- "I1": [ 975 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 978 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 979 ],
- "I1": [ 980 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 981 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 982 ],
- "I1": [ 980 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 983 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 984 ],
- "I1": [ 985 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 986 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 987 ],
- "I1": [ 985 ],
- "I2": [ 484 ],
- "I3": [ "0" ],
- "O": [ 988 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 609 ],
- "I1": [ 989 ],
- "I2": [ 561 ],
- "I3": [ 592 ],
- "O": [ 990 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 560 ],
- "I1": [ 459 ],
- "I2": [ 458 ],
- "I3": [ 611 ],
- "O": [ 989 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 991 ],
- "I1": [ 992 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 993 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 994 ],
- "I1": [ 170 ],
- "I2": [ 995 ],
- "I3": [ 996 ],
- "O": [ 991 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 334 ],
- "I1": [ 566 ],
- "I2": [ 168 ],
- "I3": [ "0" ],
- "O": [ 995 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 997 ],
- "I1": [ 171 ],
- "I2": [ 51 ],
- "I3": [ 998 ],
- "O": [ 996 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13811
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 176 ],
- "I2": [ 566 ],
- "I3": [ 334 ],
- "O": [ 992 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 908 ],
- "I1": [ 910 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 999 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 49 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1000 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 504 ],
- "I1": [ 1001 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1002 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 47 ],
- "I2": [ 199 ],
- "I3": [ "0" ],
- "O": [ 1001 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 504 ],
- "I1": [ 1001 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1003 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ 202 ],
- "I2": [ 203 ],
- "I3": [ 1004 ],
- "O": [ 1005 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 47 ],
- "I2": [ 204 ],
- "I3": [ "0" ],
- "O": [ 1004 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1004 ],
- "I1": [ 201 ],
- "I2": [ 202 ],
- "I3": [ 203 ],
- "O": [ 1006 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 562 ],
- "I1": [ 454 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1007 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 565 ],
- "I1": [ 1008 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1009 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1011 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1014 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1011 ],
- "I1": [ 1012 ],
- "I2": [ 1010 ],
- "I3": [ 1013 ],
- "O": [ 1015 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1011 ],
- "I1": [ 1010 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1016 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1012 ],
- "I2": [ 1011 ],
- "I3": [ 1013 ],
- "O": [ 1017 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1011 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1018 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1011 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1019 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1012 ],
- "I1": [ 1011 ],
- "I2": [ 1010 ],
- "I3": [ 1013 ],
- "O": [ 1020 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1011 ],
- "I2": [ 1012 ],
- "I3": [ 1013 ],
- "O": [ 1021 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1022 ],
- "I1": [ 1023 ],
- "I2": [ 1024 ],
- "I3": [ "0" ],
- "O": [ 1025 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1026 ],
- "I1": [ 1027 ],
- "I2": [ 1028 ],
- "I3": [ "0" ],
- "O": [ 1022 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1029 ],
- "I1": [ 1030 ],
- "I2": [ 1031 ],
- "I3": [ "0" ],
- "O": [ 1026 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1032 ],
- "I1": [ 1033 ],
- "I2": [ 1034 ],
- "I3": [ "0" ],
- "O": [ 1029 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1035 ],
- "I1": [ 1036 ],
- "I2": [ 1037 ],
- "I3": [ "0" ],
- "O": [ 1032 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 1038 ],
- "I2": [ 338 ],
- "I3": [ 1039 ],
- "O": [ 1035 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 1040 ],
- "I2": [ 220 ],
- "I3": [ "0" ],
- "O": [ 1039 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 334 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1040 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 220 ],
- "I3": [ 334 ],
- "O": [ 1038 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 350 ],
- "I2": [ 1041 ],
- "I3": [ "0" ],
- "O": [ 1036 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 338 ],
- "I3": [ 346 ],
- "O": [ 1041 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 334 ],
- "I2": [ 1042 ],
- "I3": [ "0" ],
- "O": [ 1037 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 209 ],
- "I3": [ 220 ],
- "O": [ 1042 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 1044 ],
- "I2": [ 1045 ],
- "I3": [ "0" ],
- "O": [ 1033 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 159 ],
- "I2": [ 1046 ],
- "I3": [ 114 ],
- "O": [ 1043 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 96 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1046 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 1047 ],
- "I2": [ 154 ],
- "I3": [ 1048 ],
- "O": [ 1044 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 346 ],
- "I3": [ 350 ],
- "O": [ 1048 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 346 ],
- "I3": [ 350 ],
- "O": [ 1047 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 114 ],
- "I2": [ 1049 ],
- "I3": [ "0" ],
- "O": [ 1045 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 154 ],
- "I3": [ 131 ],
- "O": [ 1049 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1050 ],
- "I1": [ 1051 ],
- "I2": [ 1052 ],
- "I3": [ "0" ],
- "O": [ 1034 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 154 ],
- "I2": [ 1047 ],
- "I3": [ "0" ],
- "O": [ 1050 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1053 ],
- "I1": [ 94 ],
- "I2": [ 1040 ],
- "I3": [ 338 ],
- "O": [ 1052 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 346 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1053 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 338 ],
- "I2": [ 1038 ],
- "I3": [ "0" ],
- "O": [ 1051 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1054 ],
- "I1": [ 1055 ],
- "I2": [ 1056 ],
- "I3": [ "0" ],
- "O": [ 1030 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1057 ],
- "I1": [ 1058 ],
- "I2": [ 1059 ],
- "I3": [ "0" ],
- "O": [ 1054 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 1060 ],
- "I2": [ 77 ],
- "I3": [ 1061 ],
- "O": [ 1057 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 1062 ],
- "I2": [ 83 ],
- "I3": [ "0" ],
- "O": [ 1061 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 88 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1062 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 511 ],
- "I2": [ 83 ],
- "I3": [ 88 ],
- "O": [ 1060 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 96 ],
- "I2": [ 1062 ],
- "I3": [ 1063 ],
- "O": [ 1058 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 83 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1063 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 567 ],
- "I2": [ 63 ],
- "I3": [ 77 ],
- "O": [ 1059 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 59534
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1065 ],
- "I2": [ 1066 ],
- "I3": [ 1046 ],
- "O": [ 1055 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 1067 ],
- "I2": [ 131 ],
- "I3": [ 1068 ],
- "O": [ 1064 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 154 ],
- "I3": [ 350 ],
- "O": [ 1068 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 350 ],
- "I3": [ 154 ],
- "O": [ 1067 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 114 ],
- "I2": [ 186 ],
- "I3": [ "0" ],
- "O": [ 1066 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 1069 ],
- "I2": [ 88 ],
- "I3": [ 1070 ],
- "O": [ 1065 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 96 ],
- "I3": [ 114 ],
- "O": [ 1070 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 114 ],
- "I3": [ 96 ],
- "O": [ 1069 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36527
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1071 ],
- "I1": [ 567 ],
- "I2": [ 1072 ],
- "I3": [ 63 ],
- "O": [ 1056 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 511 ],
- "I2": [ 1074 ],
- "I3": [ 83 ],
- "O": [ 1071 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1073 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 63 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1074 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 77 ],
- "I2": [ 1060 ],
- "I3": [ "0" ],
- "O": [ 1072 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1075 ],
- "I1": [ 1076 ],
- "I2": [ 1077 ],
- "I3": [ "0" ],
- "O": [ 1031 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45611
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1078 ],
- "I1": [ 1079 ],
- "I2": [ 1080 ],
- "I3": [ 1053 ],
- "O": [ 1075 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 1081 ],
- "I2": [ 350 ],
- "I3": [ 1082 ],
- "O": [ 1078 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 338 ],
- "I3": [ 346 ],
- "O": [ 1082 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 338 ],
- "I3": [ 346 ],
- "O": [ 1081 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 338 ],
- "I2": [ 1040 ],
- "I3": [ "0" ],
- "O": [ 1080 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 131 ],
- "I2": [ 1067 ],
- "I3": [ "0" ],
- "O": [ 1079 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1050 ],
- "I1": [ 1052 ],
- "I2": [ 1051 ],
- "I3": [ "0" ],
- "O": [ 1076 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1066 ],
- "I2": [ 1065 ],
- "I3": [ 1046 ],
- "O": [ 1077 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1083 ],
- "I1": [ 1084 ],
- "I2": [ 1085 ],
- "I3": [ "0" ],
- "O": [ 1027 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 1087 ],
- "I2": [ 1088 ],
- "I3": [ "0" ],
- "O": [ 1083 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1057 ],
- "I1": [ 1058 ],
- "I2": [ 1059 ],
- "I3": [ "0" ],
- "O": [ 1086 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 1045 ],
- "I2": [ 1044 ],
- "I3": [ "0" ],
- "O": [ 1087 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1089 ],
- "I1": [ 1090 ],
- "I2": [ 1091 ],
- "I3": [ 1092 ],
- "O": [ 1088 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 63 ],
- "I2": [ 1093 ],
- "I3": [ "0" ],
- "O": [ 1089 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 567 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 1093 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1062 ],
- "I1": [ 511 ],
- "I2": [ 1063 ],
- "I3": [ 96 ],
- "O": [ 1090 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 114 ],
- "I2": [ 1094 ],
- "I3": [ "0" ],
- "O": [ 1091 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 96 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1094 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 88 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1092 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1032 ],
- "I1": [ 1033 ],
- "I2": [ 1034 ],
- "I3": [ "0" ],
- "O": [ 1084 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1095 ],
- "I1": [ 1096 ],
- "I2": [ 1097 ],
- "I3": [ "0" ],
- "O": [ 1085 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1098 ],
- "I1": [ 1099 ],
- "I2": [ 1100 ],
- "I3": [ "0" ],
- "O": [ 1095 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 167 ],
- "I2": [ 1101 ],
- "I3": [ "0" ],
- "O": [ 1098 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 1049 ],
- "I2": [ 114 ],
- "I3": [ "0" ],
- "O": [ 1101 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 1041 ],
- "I2": [ 350 ],
- "I3": [ 1102 ],
- "O": [ 1099 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 1103 ],
- "I2": [ 346 ],
- "I3": [ "0" ],
- "O": [ 1102 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 338 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1103 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 350 ],
- "I2": [ 167 ],
- "I3": [ 1104 ],
- "O": [ 1100 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 131 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1104 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1035 ],
- "I1": [ 1036 ],
- "I2": [ 1037 ],
- "I3": [ "0" ],
- "O": [ 1096 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1105 ],
- "I1": [ 1106 ],
- "I2": [ 1107 ],
- "I3": [ "0" ],
- "O": [ 1097 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 1042 ],
- "I2": [ 334 ],
- "I3": [ 1108 ],
- "O": [ 1105 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 1109 ],
- "I2": [ 220 ],
- "I3": [ "0" ],
- "O": [ 1108 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 209 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1109 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 334 ],
- "I2": [ 1103 ],
- "I3": [ 1110 ],
- "O": [ 1106 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 346 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1110 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 231 ],
- "I2": [ 1109 ],
- "I3": [ 1111 ],
- "O": [ 1107 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 220 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1111 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 54315
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1054 ],
- "I1": [ 1055 ],
- "I2": [ 1056 ],
- "I3": [ 1112 ],
- "O": [ 1028 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 567 ],
- "I2": [ 77 ],
- "I3": [ 63 ],
- "O": [ 1112 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1113 ],
- "I1": [ 1114 ],
- "I2": [ 1115 ],
- "I3": [ 1116 ],
- "O": [ 1023 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1083 ],
- "I1": [ 1085 ],
- "I2": [ 1084 ],
- "I3": [ "0" ],
- "O": [ 1113 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1117 ],
- "I1": [ 1118 ],
- "I2": [ 1119 ],
- "I3": [ "0" ],
- "O": [ 1114 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36465
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1098 ],
- "I1": [ 1099 ],
- "I2": [ 1100 ],
- "I3": [ 1120 ],
- "O": [ 1117 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 77 ],
- "I2": [ 1121 ],
- "I3": [ 1122 ],
- "O": [ 1120 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 96 ],
- "I2": [ 1123 ],
- "I3": [ 1124 ],
- "O": [ 1121 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1094 ],
- "I1": [ 511 ],
- "I2": [ 1092 ],
- "I3": [ 114 ],
- "O": [ 1123 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 511 ],
- "I2": [ 114 ],
- "I3": [ 131 ],
- "O": [ 1124 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 567 ],
- "I2": [ 83 ],
- "I3": [ 88 ],
- "O": [ 1122 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11220
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1105 ],
- "I1": [ 1106 ],
- "I2": [ 1107 ],
- "I3": [ 1125 ],
- "O": [ 1118 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 167 ],
- "I1": [ 136 ],
- "I2": [ 1104 ],
- "I3": [ 350 ],
- "O": [ 1125 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1126 ],
- "I1": [ 1127 ],
- "I2": [ 1128 ],
- "I3": [ 1129 ],
- "O": [ 1119 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1103 ],
- "I1": [ 62 ],
- "I2": [ 1110 ],
- "I3": [ 334 ],
- "O": [ 1126 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1109 ],
- "I1": [ 287 ],
- "I2": [ 1111 ],
- "I3": [ 231 ],
- "O": [ 1127 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 154 ],
- "I2": [ 1130 ],
- "I3": [ "0" ],
- "O": [ 1128 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 346 ],
- "I3": [ 350 ],
- "O": [ 1130 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1131 ],
- "I1": [ 1132 ],
- "I2": [ 1133 ],
- "I3": [ "0" ],
- "O": [ 1129 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 220 ],
- "I3": [ 334 ],
- "O": [ 1131 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 242 ],
- "I3": [ 231 ],
- "O": [ 1132 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 40111
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 370 ],
- "I2": [ 209 ],
- "I3": [ 338 ],
- "O": [ 1133 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 570 ],
- "I1": [ 63 ],
- "I2": [ 1134 ],
- "I3": [ "0" ],
- "O": [ 1115 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36465
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1086 ],
- "I1": [ 1087 ],
- "I2": [ 1088 ],
- "I3": [ 1135 ],
- "O": [ 1134 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 1093 ],
- "I2": [ 63 ],
- "I3": [ 1136 ],
- "O": [ 1135 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 567 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 1136 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19890
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1095 ],
- "I1": [ 1096 ],
- "I2": [ 1097 ],
- "I3": [ 1137 ],
- "O": [ 1116 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 54349
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1089 ],
- "I1": [ 1090 ],
- "I2": [ 1091 ],
- "I3": [ 1092 ],
- "O": [ 1137 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11008
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1054 ],
- "I1": [ 1055 ],
- "I2": [ 1056 ],
- "I3": [ 1112 ],
- "O": [ 1024 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 49 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1138 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1139 ],
- "I1": [ 141 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 1140 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1141 ],
- "I1": [ 1142 ],
- "I2": [ 1143 ],
- "I3": [ "0" ],
- "O": [ 1139 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1144 ],
- "I1": [ 1145 ],
- "I2": [ 1146 ],
- "I3": [ "0" ],
- "O": [ 1141 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1147 ],
- "I1": [ 1148 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1144 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 131 ],
- "I2": [ 1150 ],
- "I3": [ "0" ],
- "O": [ 1147 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 350 ],
- "I3": [ 154 ],
- "O": [ 1150 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 88 ],
- "I2": [ 384 ],
- "I3": [ 1151 ],
- "O": [ 1148 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 114 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1151 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 151 ],
- "I1": [ 152 ],
- "I2": [ 153 ],
- "I3": [ "0" ],
- "O": [ 1149 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1152 ],
- "I1": [ 1153 ],
- "I2": [ 1154 ],
- "I3": [ "0" ],
- "O": [ 1145 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 161 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 1152 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 83 ],
- "I2": [ 1155 ],
- "I3": [ 1156 ],
- "O": [ 1153 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1155 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 63 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1156 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 157 ],
- "I2": [ 158 ],
- "I3": [ "0" ],
- "O": [ 1154 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 149 ],
- "I2": [ 150 ],
- "I3": [ "0" ],
- "O": [ 1146 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 145 ],
- "I1": [ 146 ],
- "I2": [ 147 ],
- "I3": [ "0" ],
- "O": [ 1142 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2820
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 63 ],
- "I2": [ 160 ],
- "I3": [ 161 ],
- "O": [ 1143 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 143 ],
- "I2": [ 144 ],
- "I3": [ "0" ],
- "O": [ 1157 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16640
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1157 ],
- "I1": [ 1158 ],
- "I2": [ 1159 ],
- "I3": [ 1139 ],
- "O": [ 1160 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1141 ],
- "I1": [ 1143 ],
- "I2": [ 1142 ],
- "I3": [ "0" ],
- "O": [ 1158 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1161 ],
- "I1": [ 1162 ],
- "I2": [ 1163 ],
- "I3": [ "0" ],
- "O": [ 1159 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1144 ],
- "I1": [ 1145 ],
- "I2": [ 1146 ],
- "I3": [ "0" ],
- "O": [ 1161 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1164 ],
- "I1": [ 1165 ],
- "I2": [ 1166 ],
- "I3": [ "0" ],
- "O": [ 1162 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1147 ],
- "I1": [ 1148 ],
- "I2": [ 1149 ],
- "I3": [ "0" ],
- "O": [ 1164 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1167 ],
- "I1": [ 1168 ],
- "I2": [ 1169 ],
- "I3": [ "0" ],
- "O": [ 1165 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 1150 ],
- "I2": [ 131 ],
- "I3": [ 1170 ],
- "O": [ 1167 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 153 ],
- "I2": [ 350 ],
- "I3": [ "0" ],
- "O": [ 1170 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 154 ],
- "I2": [ 1171 ],
- "I3": [ "0" ],
- "O": [ 1168 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 346 ],
- "I3": [ 350 ],
- "O": [ 1171 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 96 ],
- "I2": [ 1172 ],
- "I3": [ "0" ],
- "O": [ 1169 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 98 ],
- "I2": [ 131 ],
- "I3": [ 114 ],
- "O": [ 1172 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1173 ],
- "I1": [ 1174 ],
- "I2": [ 1175 ],
- "I3": [ "0" ],
- "O": [ 1166 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1155 ],
- "I1": [ 136 ],
- "I2": [ 1156 ],
- "I3": [ 83 ],
- "O": [ 1173 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ 370 ],
- "I2": [ 1151 ],
- "I3": [ 88 ],
- "O": [ 1174 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 77 ],
- "I2": [ 1176 ],
- "I3": [ "0" ],
- "O": [ 1175 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 88 ],
- "I3": [ 83 ],
- "O": [ 1176 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 75
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 63 ],
- "I2": [ 1177 ],
- "I3": [ "0" ],
- "O": [ 1163 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1152 ],
- "I1": [ 1153 ],
- "I2": [ 1154 ],
- "I3": [ "0" ],
- "O": [ 1177 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1178 ],
- "I1": [ 1179 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1180 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1181 ],
- "I1": [ 1182 ],
- "I2": [ 1183 ],
- "I3": [ "0" ],
- "O": [ 1178 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 1185 ],
- "I2": [ 1186 ],
- "I3": [ "0" ],
- "O": [ 1181 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1187 ],
- "I1": [ 1188 ],
- "I2": [ 1189 ],
- "I3": [ "0" ],
- "O": [ 1184 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1190 ],
- "I1": [ 1191 ],
- "I2": [ 1192 ],
- "I3": [ "0" ],
- "O": [ 1187 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1193 ],
- "I1": [ 1151 ],
- "I2": [ 1194 ],
- "I3": [ "0" ],
- "O": [ 1190 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ 1172 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 1194 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 131 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1193 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 1176 ],
- "I2": [ 77 ],
- "I3": [ 1195 ],
- "O": [ 1191 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 83 ],
- "I3": [ 88 ],
- "O": [ 1195 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 83 ],
- "I2": [ 1196 ],
- "I3": [ "0" ],
- "O": [ 1192 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 25424
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 96 ],
- "I3": [ 88 ],
- "O": [ 1196 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1167 ],
- "I1": [ 1168 ],
- "I2": [ 1169 ],
- "I3": [ "0" ],
- "O": [ 1188 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1197 ],
- "I1": [ 1198 ],
- "I2": [ 1199 ],
- "I3": [ "0" ],
- "O": [ 1189 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 1171 ],
- "I2": [ 154 ],
- "I3": [ 1200 ],
- "O": [ 1197 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 94 ],
- "I2": [ 346 ],
- "I3": [ 350 ],
- "O": [ 1200 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 350 ],
- "I2": [ 1081 ],
- "I3": [ "0" ],
- "O": [ 1198 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19380
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 154 ],
- "I2": [ 367 ],
- "I3": [ 1193 ],
- "O": [ 1199 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1164 ],
- "I1": [ 1165 ],
- "I2": [ 1166 ],
- "I3": [ "0" ],
- "O": [ 1185 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 63 ],
- "I2": [ 1201 ],
- "I3": [ 1202 ],
- "O": [ 1186 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1173 ],
- "I1": [ 1175 ],
- "I2": [ 1174 ],
- "I3": [ "0" ],
- "O": [ 1201 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 77 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1202 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1161 ],
- "I1": [ 1162 ],
- "I2": [ 1163 ],
- "I3": [ "0" ],
- "O": [ 1182 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1177 ],
- "I1": [ 511 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 1183 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1158 ],
- "I1": [ 1159 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1179 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1203 ],
- "I1": [ 1204 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1205 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1181 ],
- "I1": [ 1183 ],
- "I2": [ 1182 ],
- "I3": [ "0" ],
- "O": [ 1203 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1206 ],
- "I1": [ 1207 ],
- "I2": [ 1208 ],
- "I3": [ "0" ],
- "O": [ 1204 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1184 ],
- "I1": [ 1186 ],
- "I2": [ 1185 ],
- "I3": [ "0" ],
- "O": [ 1206 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1209 ],
- "I1": [ 1210 ],
- "I2": [ 1211 ],
- "I3": [ "0" ],
- "O": [ 1207 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1187 ],
- "I1": [ 1189 ],
- "I2": [ 1188 ],
- "I3": [ "0" ],
- "O": [ 1209 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ 1213 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1210 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1190 ],
- "I1": [ 1192 ],
- "I2": [ 1191 ],
- "I3": [ "0" ],
- "O": [ 1212 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1214 ],
- "I1": [ 1215 ],
- "I2": [ 1074 ],
- "I3": [ "0" ],
- "O": [ 1213 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ 83 ],
- "I2": [ 1073 ],
- "I3": [ "0" ],
- "O": [ 1214 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 1202 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 1215 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ 1217 ],
- "I2": [ 1218 ],
- "I3": [ "0" ],
- "O": [ 1211 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1197 ],
- "I1": [ 1198 ],
- "I2": [ 1199 ],
- "I3": [ "0" ],
- "O": [ 1216 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 38505
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1078 ],
- "I1": [ 1080 ],
- "I2": [ 1079 ],
- "I3": [ 1053 ],
- "O": [ 1217 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 1220 ],
- "I2": [ 1221 ],
- "I3": [ "0" ],
- "O": [ 1218 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 1196 ],
- "I2": [ 83 ],
- "I3": [ 1222 ],
- "O": [ 1219 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ 159 ],
- "I2": [ 88 ],
- "I3": [ 96 ],
- "O": [ 1222 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19807
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 367 ],
- "I1": [ 62 ],
- "I2": [ 1193 ],
- "I3": [ 154 ],
- "O": [ 1220 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 180
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 88 ],
- "I2": [ 1069 ],
- "I3": [ "0" ],
- "O": [ 1221 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2820
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ 63 ],
- "I2": [ 1201 ],
- "I3": [ 1202 ],
- "O": [ 1208 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1223 ],
- "I1": [ 1224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1225 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1206 ],
- "I1": [ 1207 ],
- "I2": [ 1208 ],
- "I3": [ "0" ],
- "O": [ 1223 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 1227 ],
- "I2": [ 1228 ],
- "I3": [ "0" ],
- "O": [ 1224 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1209 ],
- "I1": [ 1210 ],
- "I2": [ 1211 ],
- "I3": [ "0" ],
- "O": [ 1226 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1212 ],
- "I1": [ 1213 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1227 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1229 ],
- "I1": [ 1230 ],
- "I2": [ 1231 ],
- "I3": [ "0" ],
- "O": [ 1228 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ 1217 ],
- "I2": [ 1218 ],
- "I3": [ "0" ],
- "O": [ 1229 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1075 ],
- "I1": [ 1076 ],
- "I2": [ 1077 ],
- "I3": [ "0" ],
- "O": [ 1230 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1232 ],
- "I1": [ 1233 ],
- "I2": [ 1234 ],
- "I3": [ "0" ],
- "O": [ 1231 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1214 ],
- "I1": [ 1074 ],
- "I2": [ 1215 ],
- "I3": [ "0" ],
- "O": [ 1232 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 178
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 1221 ],
- "I2": [ 1220 ],
- "I3": [ "0" ],
- "O": [ 1233 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 567 ],
- "I1": [ 63 ],
- "I2": [ 1071 ],
- "I3": [ 1072 ],
- "O": [ 1234 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1235 ],
- "I1": [ 1236 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1237 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1226 ],
- "I1": [ 1227 ],
- "I2": [ 1228 ],
- "I3": [ "0" ],
- "O": [ 1235 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 150
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1238 ],
- "I1": [ 1239 ],
- "I2": [ 1240 ],
- "I3": [ "0" ],
- "O": [ 1236 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1029 ],
- "I1": [ 1030 ],
- "I2": [ 1031 ],
- "I3": [ "0" ],
- "O": [ 1238 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1229 ],
- "I1": [ 1230 ],
- "I2": [ 1231 ],
- "I3": [ "0" ],
- "O": [ 1239 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1232 ],
- "I1": [ 1234 ],
- "I2": [ 1233 ],
- "I3": [ "0" ],
- "O": [ 1240 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ 1242 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1243 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 212
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1238 ],
- "I1": [ 1239 ],
- "I2": [ 1240 ],
- "I3": [ "0" ],
- "O": [ 1241 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1026 ],
- "I1": [ 1027 ],
- "I2": [ 1028 ],
- "I3": [ "0" ],
- "O": [ 1242 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30855
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ 141 ],
- "I2": [ 1139 ],
- "I3": [ 1157 ],
- "O": [ 1244 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1157 ],
- "I1": [ 1139 ],
- "I2": [ 1158 ],
- "I3": [ 1159 ],
- "O": [ 1245 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1178 ],
- "I1": [ 1179 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1246 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1203 ],
- "I1": [ 1204 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1247 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1223 ],
- "I1": [ 1224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1248 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1235 ],
- "I1": [ 1236 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1249 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 9
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1241 ],
- "I1": [ 1242 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1250 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 256 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1251 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1252 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1253 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1254 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1255 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1256 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1257 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 413 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1258 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 15 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1259 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 10 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1260 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1261 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1262 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1263 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1264 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1265 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1266 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 370 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1267 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1268 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1269 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1270 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 511 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1271 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 522 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1272 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1273 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 567 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1274 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1275 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1276 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 570 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1277 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 908 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1278 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 465 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1279 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 419 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1280 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 928 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1281 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 26 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1282 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 29 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1283 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1002 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1284 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1285 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1286 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1287 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1003 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1288 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 77 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1289 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1290 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 96 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1291 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 131 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1292 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1293 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 350 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1294 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 334 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1295 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1296 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 209 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1297 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 231 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1298 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 25 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1299 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 20 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1300 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 21 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1301 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 22 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1302 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 23 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1303 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1304 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1305 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 28 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1306 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 38 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1307 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 37 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1308 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 35 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1309 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 63 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1310 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 88 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1311 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 114 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1312 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1313 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1314 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 242 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1315 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1316 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1317 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 12787
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 168 ],
- "I2": [ 159 ],
- "I3": [ 154 ],
- "O": [ 1318 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 175 ],
- "I2": [ 1318 ],
- "I3": [ 173 ],
- "O": [ 45 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1319 ],
- "I1": [ 1320 ],
- "I2": [ 164 ],
- "I3": [ 166 ],
- "O": [ 1321 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31999
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1322 ],
- "I1": [ 164 ],
- "I2": [ 165 ],
- "I3": [ 1321 ],
- "O": [ 1323 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 214 ],
- "I2": [ 215 ],
- "I3": [ 1323 ],
- "O": [ 206 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1324 ],
- "I1": [ 1325 ],
- "I2": [ 164 ],
- "I3": [ 166 ],
- "O": [ 1326 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31999
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1327 ],
- "I1": [ 164 ],
- "I2": [ 165 ],
- "I3": [ 1326 ],
- "O": [ 1328 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 221 ],
- "I1": [ 225 ],
- "I2": [ 226 ],
- "I3": [ 1328 ],
- "O": [ 217 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1329 ],
- "I1": [ 1330 ],
- "I2": [ 164 ],
- "I3": [ 166 ],
- "O": [ 1331 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31999
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1332 ],
- "I1": [ 164 ],
- "I2": [ 165 ],
- "I3": [ 1331 ],
- "O": [ 1333 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 236 ],
- "I2": [ 237 ],
- "I3": [ 1333 ],
- "O": [ 228 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1334 ],
- "I1": [ 1335 ],
- "I2": [ 164 ],
- "I3": [ 166 ],
- "O": [ 1336 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31999
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1337 ],
- "I1": [ 164 ],
- "I2": [ 165 ],
- "I3": [ 1336 ],
- "O": [ 1338 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65279
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 247 ],
- "I2": [ 248 ],
- "I3": [ 1338 ],
- "O": [ 239 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1339 ],
- "I1": [ 1340 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1342 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1343 ],
- "I1": [ 1342 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1345 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1346 ],
- "I1": [ 1347 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1348 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1349 ],
- "I1": [ 1348 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1350 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1351 ],
- "I1": [ 1352 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1353 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1354 ],
- "I1": [ 1353 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1355 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1356 ],
- "I1": [ 1357 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1358 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1359 ],
- "I1": [ 1358 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1360 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1361 ],
- "I1": [ 1362 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1363 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1364 ],
- "I1": [ 1363 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1365 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1366 ],
- "I1": [ 1367 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1368 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1369 ],
- "I1": [ 1368 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1370 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1371 ],
- "I1": [ 1372 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1373 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1374 ],
- "I1": [ 1373 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1375 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1376 ],
- "I1": [ 1377 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1378 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1379 ],
- "I1": [ 1378 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1380 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1381 ],
- "I1": [ 1382 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1383 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1384 ],
- "I1": [ 1383 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1385 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1386 ],
- "I1": [ 1387 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1388 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1389 ],
- "I1": [ 1388 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1390 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1391 ],
- "I1": [ 1392 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1393 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1394 ],
- "I1": [ 1393 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1395 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1396 ],
- "I1": [ 1397 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1398 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1399 ],
- "I1": [ 1398 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1400 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1401 ],
- "I1": [ 1402 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1403 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1404 ],
- "I1": [ 1403 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1405 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1406 ],
- "I1": [ 1407 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1408 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1409 ],
- "I1": [ 1408 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1410 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1411 ],
- "I1": [ 1412 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1413 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1414 ],
- "I1": [ 1413 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1415 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1416 ],
- "I1": [ 1417 ],
- "I2": [ 1341 ],
- "I3": [ 200 ],
- "O": [ 1418 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1419 ],
- "I1": [ 1418 ],
- "I2": [ 1344 ],
- "I3": [ 200 ],
- "O": [ 1420 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1421 ],
- "I1": [ 1422 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1423 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 807 ],
- "I1": [ 808 ],
- "I2": [ 625 ],
- "I3": [ 1423 ],
- "O": [ 621 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1424 ],
- "I1": [ 1425 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1426 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 813 ],
- "I1": [ 814 ],
- "I2": [ 625 ],
- "I3": [ 1426 ],
- "O": [ 635 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1427 ],
- "I1": [ 1428 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1429 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 819 ],
- "I1": [ 820 ],
- "I2": [ 625 ],
- "I3": [ 1429 ],
- "O": [ 643 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1430 ],
- "I1": [ 1431 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1432 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 825 ],
- "I1": [ 826 ],
- "I2": [ 625 ],
- "I3": [ 1432 ],
- "O": [ 651 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1433 ],
- "I1": [ 1434 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1435 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 831 ],
- "I1": [ 832 ],
- "I2": [ 625 ],
- "I3": [ 1435 ],
- "O": [ 659 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1436 ],
- "I1": [ 1437 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1438 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 837 ],
- "I1": [ 838 ],
- "I2": [ 625 ],
- "I3": [ 1438 ],
- "O": [ 667 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1439 ],
- "I1": [ 1440 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1441 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 843 ],
- "I1": [ 844 ],
- "I2": [ 625 ],
- "I3": [ 1441 ],
- "O": [ 675 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1442 ],
- "I1": [ 1443 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1444 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 849 ],
- "I1": [ 850 ],
- "I2": [ 625 ],
- "I3": [ 1444 ],
- "O": [ 683 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1445 ],
- "I1": [ 1446 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1447 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 856 ],
- "I2": [ 625 ],
- "I3": [ 1447 ],
- "O": [ 691 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1448 ],
- "I1": [ 1449 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1450 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 861 ],
- "I1": [ 862 ],
- "I2": [ 625 ],
- "I3": [ 1450 ],
- "O": [ 699 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1451 ],
- "I1": [ 1452 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1453 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 867 ],
- "I1": [ 868 ],
- "I2": [ 625 ],
- "I3": [ 1453 ],
- "O": [ 707 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1454 ],
- "I1": [ 1455 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1456 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 873 ],
- "I1": [ 874 ],
- "I2": [ 625 ],
- "I3": [ 1456 ],
- "O": [ 715 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1457 ],
- "I1": [ 1458 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1459 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 879 ],
- "I1": [ 880 ],
- "I2": [ 625 ],
- "I3": [ 1459 ],
- "O": [ 723 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1460 ],
- "I1": [ 1461 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1462 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 885 ],
- "I1": [ 886 ],
- "I2": [ 625 ],
- "I3": [ 1462 ],
- "O": [ 731 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1463 ],
- "I1": [ 1464 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1465 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 891 ],
- "I1": [ 892 ],
- "I2": [ 625 ],
- "I3": [ 1465 ],
- "O": [ 739 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1466 ],
- "I1": [ 1467 ],
- "I2": [ 625 ],
- "I3": [ 627 ],
- "O": [ 1468 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 897 ],
- "I1": [ 898 ],
- "I2": [ 625 ],
- "I3": [ 1468 ],
- "O": [ 747 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1421 ],
- "I1": [ 1422 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1469 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 631 ],
- "I1": [ 629 ],
- "I2": [ 579 ],
- "I3": [ 1469 ],
- "O": [ 805 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1424 ],
- "I1": [ 1425 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1470 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 640 ],
- "I1": [ 638 ],
- "I2": [ 579 ],
- "I3": [ 1470 ],
- "O": [ 811 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1427 ],
- "I1": [ 1428 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1471 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ 646 ],
- "I2": [ 579 ],
- "I3": [ 1471 ],
- "O": [ 817 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1430 ],
- "I1": [ 1431 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1472 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 656 ],
- "I1": [ 654 ],
- "I2": [ 579 ],
- "I3": [ 1472 ],
- "O": [ 823 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1433 ],
- "I1": [ 1434 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1473 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 664 ],
- "I1": [ 662 ],
- "I2": [ 579 ],
- "I3": [ 1473 ],
- "O": [ 829 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1436 ],
- "I1": [ 1437 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1474 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 672 ],
- "I1": [ 670 ],
- "I2": [ 579 ],
- "I3": [ 1474 ],
- "O": [ 835 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1439 ],
- "I1": [ 1440 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1475 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 680 ],
- "I1": [ 678 ],
- "I2": [ 579 ],
- "I3": [ 1475 ],
- "O": [ 841 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1442 ],
- "I1": [ 1443 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1476 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 688 ],
- "I1": [ 686 ],
- "I2": [ 579 ],
- "I3": [ 1476 ],
- "O": [ 847 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1445 ],
- "I1": [ 1446 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1477 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 696 ],
- "I1": [ 694 ],
- "I2": [ 579 ],
- "I3": [ 1477 ],
- "O": [ 853 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1448 ],
- "I1": [ 1449 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1478 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 704 ],
- "I1": [ 702 ],
- "I2": [ 579 ],
- "I3": [ 1478 ],
- "O": [ 859 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1451 ],
- "I1": [ 1452 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1479 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 712 ],
- "I1": [ 710 ],
- "I2": [ 579 ],
- "I3": [ 1479 ],
- "O": [ 865 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1454 ],
- "I1": [ 1455 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1480 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 720 ],
- "I1": [ 718 ],
- "I2": [ 579 ],
- "I3": [ 1480 ],
- "O": [ 871 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1457 ],
- "I1": [ 1458 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1481 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 728 ],
- "I1": [ 726 ],
- "I2": [ 579 ],
- "I3": [ 1481 ],
- "O": [ 877 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1460 ],
- "I1": [ 1461 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1482 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 736 ],
- "I1": [ 734 ],
- "I2": [ 579 ],
- "I3": [ 1482 ],
- "O": [ 883 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1463 ],
- "I1": [ 1464 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1483 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 744 ],
- "I1": [ 742 ],
- "I2": [ 579 ],
- "I3": [ 1483 ],
- "O": [ 889 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1466 ],
- "I1": [ 1467 ],
- "I2": [ 579 ],
- "I3": [ 592 ],
- "O": [ 1484 ]
- }
- },
- "$abc$8880$auto$blifparse.cc:492:parse_blif$9843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 752 ],
- "I1": [ 750 ],
- "I2": [ 579 ],
- "I3": [ 1484 ],
- "O": [ 895 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1257 ],
- "CO": [ 1485 ],
- "I0": [ "0" ],
- "I1": [ 1256 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1485 ],
- "CO": [ 1486 ],
- "I0": [ "0" ],
- "I1": [ 1255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1486 ],
- "CO": [ 1487 ],
- "I0": [ "0" ],
- "I1": [ 1254 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1487 ],
- "CO": [ 1488 ],
- "I0": [ "1" ],
- "I1": [ 1305 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1488 ],
- "CO": [ 922 ],
- "I0": [ "1" ],
- "I1": [ 1309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1306 ],
- "CO": [ 1489 ],
- "I0": [ "1" ],
- "I1": [ 1283 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1489 ],
- "CO": [ 1490 ],
- "I0": [ "1" ],
- "I1": [ 1307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1490 ],
- "CO": [ 1491 ],
- "I0": [ "1" ],
- "I1": [ 1308 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1491 ],
- "CO": [ 1492 ],
- "I0": [ "0" ],
- "I1": [ 1257 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1492 ],
- "CO": [ 1493 ],
- "I0": [ "0" ],
- "I1": [ 1256 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1493 ],
- "CO": [ 1494 ],
- "I0": [ "0" ],
- "I1": [ 1255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1494 ],
- "CO": [ 1495 ],
- "I0": [ "1" ],
- "I1": [ 1254 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1495 ],
- "CO": [ 1496 ],
- "I0": [ "0" ],
- "I1": [ 1305 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1496 ],
- "CO": [ 920 ],
- "I0": [ "0" ],
- "I1": [ 1309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1316 ],
- "CO": [ 1497 ],
- "I0": [ "1" ],
- "I1": [ 1282 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1497 ],
- "CO": [ 1498 ],
- "I0": [ "1" ],
- "I1": [ 1317 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1498 ],
- "CO": [ 1499 ],
- "I0": [ "1" ],
- "I1": [ 1299 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1499 ],
- "CO": [ 1500 ],
- "I0": [ "1" ],
- "I1": [ 1300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1500 ],
- "CO": [ 1501 ],
- "I0": [ "0" ],
- "I1": [ 1301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1501 ],
- "CO": [ 1502 ],
- "I0": [ "0" ],
- "I1": [ 1302 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1502 ],
- "CO": [ 1503 ],
- "I0": [ "0" ],
- "I1": [ 1303 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1503 ],
- "CO": [ 1504 ],
- "I0": [ "0" ],
- "I1": [ 1304 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1504 ],
- "CO": [ 921 ],
- "I0": [ "0" ],
- "I1": [ 1259 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 274 ],
- "I2": [ 632 ],
- "I3": [ "0" ],
- "O": [ 1505 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1506 ],
- "I0": [ 274 ],
- "I1": [ 632 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 294 ],
- "I2": [ 624 ],
- "I3": [ 1506 ],
- "O": [ 1507 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1506 ],
- "CO": [ 1508 ],
- "I0": [ 294 ],
- "I1": [ 624 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 300 ],
- "I2": [ 626 ],
- "I3": [ 1508 ],
- "O": [ 1509 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1508 ],
- "CO": [ 1510 ],
- "I0": [ 300 ],
- "I1": [ 626 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 268 ],
- "I2": [ 615 ],
- "I3": [ 1510 ],
- "O": [ 1511 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1510 ],
- "CO": [ 1512 ],
- "I0": [ 268 ],
- "I1": [ 615 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 393 ],
- "I2": [ 571 ],
- "I3": [ 1512 ],
- "O": [ 1513 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1512 ],
- "CO": [ 1514 ],
- "I0": [ 393 ],
- "I1": [ 571 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 378 ],
- "I2": [ 610 ],
- "I3": [ 1514 ],
- "O": [ 1515 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1514 ],
- "CO": [ 1516 ],
- "I0": [ 378 ],
- "I1": [ 610 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 400 ],
- "I2": [ 612 ],
- "I3": [ 1516 ],
- "O": [ 1517 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1516 ],
- "CO": [ 1518 ],
- "I0": [ 400 ],
- "I1": [ 612 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 407 ],
- "I2": [ 613 ],
- "I3": [ 1518 ],
- "O": [ 1519 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1518 ],
- "CO": [ 1520 ],
- "I0": [ 407 ],
- "I1": [ 613 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 254 ],
- "I2": [ 614 ],
- "I3": [ 1520 ],
- "O": [ 1521 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 274 ],
- "I3": [ "0" ],
- "O": [ 275 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 274 ],
- "CO": [ 1522 ],
- "I0": [ "0" ],
- "I1": [ 294 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 300 ],
- "I3": [ 1522 ],
- "O": [ 301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1522 ],
- "CO": [ 1523 ],
- "I0": [ "0" ],
- "I1": [ 300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 268 ],
- "I3": [ 1523 ],
- "O": [ 269 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1523 ],
- "CO": [ 1524 ],
- "I0": [ "0" ],
- "I1": [ 268 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 393 ],
- "I3": [ 1524 ],
- "O": [ 394 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1524 ],
- "CO": [ 1525 ],
- "I0": [ "0" ],
- "I1": [ 393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 378 ],
- "I3": [ 1525 ],
- "O": [ 379 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1525 ],
- "CO": [ 1526 ],
- "I0": [ "0" ],
- "I1": [ 378 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 400 ],
- "I3": [ 1526 ],
- "O": [ 401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1526 ],
- "CO": [ 1527 ],
- "I0": [ "0" ],
- "I1": [ 400 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 407 ],
- "I3": [ 1527 ],
- "O": [ 408 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1527 ],
- "CO": [ 1528 ],
- "I0": [ "0" ],
- "I1": [ 407 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 254 ],
- "I3": [ 1528 ],
- "O": [ 255 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 1529 ],
- "I0": [ 1262 ],
- "I1": [ 1310 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1530 ],
- "CO": [ 1531 ],
- "I0": [ 1272 ],
- "I1": [ 1314 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1531 ],
- "CO": [ 1532 ],
- "I0": [ 1273 ],
- "I1": [ 1295 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1532 ],
- "CO": [ 1533 ],
- "I0": [ 1274 ],
- "I1": [ 1296 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1533 ],
- "CO": [ 1534 ],
- "I0": [ 1275 ],
- "I1": [ 1297 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1534 ],
- "CO": [ 1535 ],
- "I0": [ 1276 ],
- "I1": [ 1298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1535 ],
- "CO": [ 316 ],
- "I0": [ 1277 ],
- "I1": [ 1315 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1529 ],
- "CO": [ 1536 ],
- "I0": [ 1263 ],
- "I1": [ 1289 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1536 ],
- "CO": [ 1537 ],
- "I0": [ 1264 ],
- "I1": [ 1290 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1537 ],
- "CO": [ 1538 ],
- "I0": [ 1265 ],
- "I1": [ 1311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1538 ],
- "CO": [ 1539 ],
- "I0": [ 1266 ],
- "I1": [ 1291 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1539 ],
- "CO": [ 1540 ],
- "I0": [ 1267 ],
- "I1": [ 1312 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1540 ],
- "CO": [ 1541 ],
- "I0": [ 1268 ],
- "I1": [ 1292 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1541 ],
- "CO": [ 1542 ],
- "I0": [ 1269 ],
- "I1": [ 1293 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1542 ],
- "CO": [ 1543 ],
- "I0": [ 1270 ],
- "I1": [ 1294 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1543 ],
- "CO": [ 1530 ],
- "I0": [ 1271 ],
- "I1": [ 1313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1262 ],
- "I2": [ 63 ],
- "I3": [ "0" ],
- "O": [ 356 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1544 ],
- "I0": [ 1262 ],
- "I1": [ 63 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1272 ],
- "I2": [ 338 ],
- "I3": [ 1545 ],
- "O": [ 523 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1545 ],
- "CO": [ 1546 ],
- "I0": [ 1272 ],
- "I1": [ 338 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1273 ],
- "I2": [ 334 ],
- "I3": [ 1546 ],
- "O": [ 994 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1546 ],
- "CO": [ 1547 ],
- "I0": [ 1273 ],
- "I1": [ 334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1274 ],
- "I2": [ 220 ],
- "I3": [ 1547 ],
- "O": [ 1324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1547 ],
- "CO": [ 1548 ],
- "I0": [ 1274 ],
- "I1": [ 220 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1275 ],
- "I2": [ 209 ],
- "I3": [ 1548 ],
- "O": [ 1319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1548 ],
- "CO": [ 1549 ],
- "I0": [ 1275 ],
- "I1": [ 209 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1276 ],
- "I2": [ 231 ],
- "I3": [ 1549 ],
- "O": [ 1329 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1549 ],
- "CO": [ 1550 ],
- "I0": [ 1276 ],
- "I1": [ 231 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1277 ],
- "I2": [ 242 ],
- "I3": [ 1550 ],
- "O": [ 1334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1263 ],
- "I2": [ 77 ],
- "I3": [ 1544 ],
- "O": [ 288 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1544 ],
- "CO": [ 1551 ],
- "I0": [ 1263 ],
- "I1": [ 77 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1264 ],
- "I2": [ 83 ],
- "I3": [ 1551 ],
- "O": [ 309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1551 ],
- "CO": [ 1552 ],
- "I0": [ 1264 ],
- "I1": [ 83 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1265 ],
- "I2": [ 88 ],
- "I3": [ 1552 ],
- "O": [ 263 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1552 ],
- "CO": [ 1553 ],
- "I0": [ 1265 ],
- "I1": [ 88 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1266 ],
- "I2": [ 96 ],
- "I3": [ 1553 ],
- "O": [ 387 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1553 ],
- "CO": [ 1554 ],
- "I0": [ 1266 ],
- "I1": [ 96 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1267 ],
- "I2": [ 114 ],
- "I3": [ 1554 ],
- "O": [ 371 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1554 ],
- "CO": [ 1555 ],
- "I0": [ 1267 ],
- "I1": [ 114 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1268 ],
- "I2": [ 131 ],
- "I3": [ 1555 ],
- "O": [ 189 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1555 ],
- "CO": [ 1556 ],
- "I0": [ 1268 ],
- "I1": [ 131 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1269 ],
- "I2": [ 154 ],
- "I3": [ 1556 ],
- "O": [ 169 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1556 ],
- "CO": [ 1557 ],
- "I0": [ 1269 ],
- "I1": [ 154 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1270 ],
- "I2": [ 350 ],
- "I3": [ 1557 ],
- "O": [ 497 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1557 ],
- "CO": [ 1558 ],
- "I0": [ 1270 ],
- "I1": [ 350 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1271 ],
- "I2": [ 346 ],
- "I3": [ 1558 ],
- "O": [ 512 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1558 ],
- "CO": [ 1545 ],
- "I0": [ 1271 ],
- "I1": [ 346 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 63 ],
- "I2": [ 287 ],
- "I3": [ "1" ],
- "O": [ 358 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 1559 ],
- "I0": [ 63 ],
- "I1": [ 287 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 338 ],
- "I2": [ 522 ],
- "I3": [ 1560 ],
- "O": [ 524 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1560 ],
- "CO": [ 1561 ],
- "I0": [ 338 ],
- "I1": [ 522 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 334 ],
- "I2": [ 566 ],
- "I3": [ 1561 ],
- "O": [ 997 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1561 ],
- "CO": [ 1562 ],
- "I0": [ 334 ],
- "I1": [ 566 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 220 ],
- "I2": [ 567 ],
- "I3": [ 1562 ],
- "O": [ 1325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1562 ],
- "CO": [ 1563 ],
- "I0": [ 220 ],
- "I1": [ 567 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 209 ],
- "I2": [ 568 ],
- "I3": [ 1563 ],
- "O": [ 1320 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1563 ],
- "CO": [ 1564 ],
- "I0": [ 209 ],
- "I1": [ 568 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 231 ],
- "I2": [ 569 ],
- "I3": [ 1564 ],
- "O": [ 1330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1564 ],
- "CO": [ 1565 ],
- "I0": [ 231 ],
- "I1": [ 569 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 242 ],
- "I2": [ 570 ],
- "I3": [ 1565 ],
- "O": [ 1335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 77 ],
- "I2": [ 94 ],
- "I3": [ 1559 ],
- "O": [ 289 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1559 ],
- "CO": [ 1566 ],
- "I0": [ 77 ],
- "I1": [ 94 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 83 ],
- "I2": [ 307 ],
- "I3": [ 1566 ],
- "O": [ 310 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1566 ],
- "CO": [ 1567 ],
- "I0": [ 83 ],
- "I1": [ 307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 88 ],
- "I2": [ 62 ],
- "I3": [ 1567 ],
- "O": [ 264 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1567 ],
- "CO": [ 1568 ],
- "I0": [ 88 ],
- "I1": [ 62 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 96 ],
- "I2": [ 98 ],
- "I3": [ 1568 ],
- "O": [ 388 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1568 ],
- "CO": [ 1569 ],
- "I0": [ 96 ],
- "I1": [ 98 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 114 ],
- "I2": [ 370 ],
- "I3": [ 1569 ],
- "O": [ 372 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1569 ],
- "CO": [ 1570 ],
- "I0": [ 114 ],
- "I1": [ 370 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 131 ],
- "I2": [ 136 ],
- "I3": [ 1570 ],
- "O": [ 190 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1570 ],
- "CO": [ 1571 ],
- "I0": [ 131 ],
- "I1": [ 136 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 154 ],
- "I2": [ 159 ],
- "I3": [ 1571 ],
- "O": [ 172 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1571 ],
- "CO": [ 1572 ],
- "I0": [ 154 ],
- "I1": [ 159 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 350 ],
- "I2": [ 500 ],
- "I3": [ 1572 ],
- "O": [ 501 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1572 ],
- "CO": [ 1573 ],
- "I0": [ 350 ],
- "I1": [ 500 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 346 ],
- "I2": [ 511 ],
- "I3": [ 1573 ],
- "O": [ 513 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1573 ],
- "CO": [ 1560 ],
- "I0": [ 346 ],
- "I1": [ 511 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 313 ],
- "I3": [ "0" ],
- "O": [ 753 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 525 ],
- "I3": [ 1574 ],
- "O": [ 782 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1574 ],
- "CO": [ 1575 ],
- "I0": [ "0" ],
- "I1": [ 525 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1576 ],
- "I3": [ 1575 ],
- "O": [ 785 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1575 ],
- "CO": [ 1577 ],
- "I0": [ "0" ],
- "I1": [ 1576 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 216 ],
- "I3": [ 1577 ],
- "O": [ 788 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1577 ],
- "CO": [ 1578 ],
- "I0": [ "0" ],
- "I1": [ 216 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 205 ],
- "I3": [ 1578 ],
- "O": [ 791 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1578 ],
- "CO": [ 1579 ],
- "I0": [ "0" ],
- "I1": [ 205 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 227 ],
- "I3": [ 1579 ],
- "O": [ 794 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1579 ],
- "CO": [ 1580 ],
- "I0": [ "0" ],
- "I1": [ 227 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 238 ],
- "I3": [ 1580 ],
- "O": [ 797 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 313 ],
- "CO": [ 1581 ],
- "I0": [ "0" ],
- "I1": [ 280 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 302 ],
- "I3": [ 1581 ],
- "O": [ 758 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1581 ],
- "CO": [ 1582 ],
- "I0": [ "0" ],
- "I1": [ 302 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 257 ],
- "I3": [ 1582 ],
- "O": [ 761 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1582 ],
- "CO": [ 1583 ],
- "I0": [ "0" ],
- "I1": [ 257 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 380 ],
- "I3": [ 1583 ],
- "O": [ 764 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1583 ],
- "CO": [ 1584 ],
- "I0": [ "0" ],
- "I1": [ 380 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 366 ],
- "I3": [ 1584 ],
- "O": [ 767 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1584 ],
- "CO": [ 1585 ],
- "I0": [ "0" ],
- "I1": [ 366 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 183 ],
- "I3": [ 1585 ],
- "O": [ 770 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1585 ],
- "CO": [ 1586 ],
- "I0": [ "0" ],
- "I1": [ 183 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 46 ],
- "I3": [ 1586 ],
- "O": [ 773 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1586 ],
- "CO": [ 1587 ],
- "I0": [ "0" ],
- "I1": [ 46 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 503 ],
- "I3": [ 1587 ],
- "O": [ 776 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1587 ],
- "CO": [ 1588 ],
- "I0": [ "0" ],
- "I1": [ 503 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 514 ],
- "I3": [ 1588 ],
- "O": [ 779 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1588 ],
- "CO": [ 1574 ],
- "I0": [ "0" ],
- "I1": [ 514 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 461 ],
- "I3": [ "0" ],
- "O": [ 1589 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 461 ],
- "CO": [ 1590 ],
- "I0": [ "0" ],
- "I1": [ 465 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 466 ],
- "I3": [ 1590 ],
- "O": [ 1591 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1590 ],
- "CO": [ 1592 ],
- "I0": [ "0" ],
- "I1": [ 466 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 467 ],
- "I3": [ 1592 ],
- "O": [ 1593 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 418 ],
- "I3": [ "0" ],
- "O": [ 1594 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 428 ],
- "I3": [ 1595 ],
- "O": [ 1596 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1595 ],
- "CO": [ 1597 ],
- "I0": [ "0" ],
- "I1": [ 428 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 429 ],
- "I3": [ 1597 ],
- "O": [ 1598 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1597 ],
- "CO": [ 1599 ],
- "I0": [ "0" ],
- "I1": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 422 ],
- "I3": [ 1599 ],
- "O": [ 1600 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1599 ],
- "CO": [ 1601 ],
- "I0": [ "0" ],
- "I1": [ 422 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 423 ],
- "I3": [ 1601 ],
- "O": [ 1602 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1601 ],
- "CO": [ 1603 ],
- "I0": [ "0" ],
- "I1": [ 423 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 424 ],
- "I3": [ 1603 ],
- "O": [ 1604 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1603 ],
- "CO": [ 1605 ],
- "I0": [ "0" ],
- "I1": [ 424 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 425 ],
- "I3": [ 1605 ],
- "O": [ 1606 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 418 ],
- "CO": [ 1607 ],
- "I0": [ "0" ],
- "I1": [ 419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 420 ],
- "I3": [ 1607 ],
- "O": [ 1608 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1607 ],
- "CO": [ 1609 ],
- "I0": [ "0" ],
- "I1": [ 420 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 421 ],
- "I3": [ 1609 ],
- "O": [ 1610 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1609 ],
- "CO": [ 1611 ],
- "I0": [ "0" ],
- "I1": [ 421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 414 ],
- "I3": [ 1611 ],
- "O": [ 1612 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1611 ],
- "CO": [ 1613 ],
- "I0": [ "0" ],
- "I1": [ 414 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 415 ],
- "I3": [ 1613 ],
- "O": [ 1614 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1613 ],
- "CO": [ 1615 ],
- "I0": [ "0" ],
- "I1": [ 415 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 416 ],
- "I3": [ 1615 ],
- "O": [ 1616 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1615 ],
- "CO": [ 1617 ],
- "I0": [ "0" ],
- "I1": [ 416 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 417 ],
- "I3": [ 1617 ],
- "O": [ 1618 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1617 ],
- "CO": [ 1619 ],
- "I0": [ "0" ],
- "I1": [ 417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 426 ],
- "I3": [ 1619 ],
- "O": [ 1620 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1619 ],
- "CO": [ 1621 ],
- "I0": [ "0" ],
- "I1": [ 426 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 427 ],
- "I3": [ 1621 ],
- "O": [ 1622 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1621 ],
- "CO": [ 1595 ],
- "I0": [ "0" ],
- "I1": [ 427 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 489 ],
- "I3": [ "0" ],
- "O": [ 1623 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 489 ],
- "CO": [ 1624 ],
- "I0": [ "0" ],
- "I1": [ 928 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 929 ],
- "I3": [ 1624 ],
- "O": [ 1625 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1624 ],
- "CO": [ 1626 ],
- "I0": [ "0" ],
- "I1": [ 929 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 930 ],
- "I3": [ 1626 ],
- "O": [ 1627 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 923 ],
- "I3": [ "0" ],
- "O": [ 924 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 923 ],
- "CO": [ 1628 ],
- "I0": [ "0" ],
- "I1": [ 932 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 935 ],
- "I3": [ 1628 ],
- "O": [ 936 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1628 ],
- "CO": [ 1629 ],
- "I0": [ "0" ],
- "I1": [ 935 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 939 ],
- "I3": [ 1629 ],
- "O": [ 940 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1629 ],
- "CO": [ 1630 ],
- "I0": [ "0" ],
- "I1": [ 939 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 943 ],
- "I3": [ 1630 ],
- "O": [ 944 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1630 ],
- "CO": [ 1631 ],
- "I0": [ "0" ],
- "I1": [ 943 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 947 ],
- "I3": [ 1631 ],
- "O": [ 948 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 24 ],
- "I3": [ "0" ],
- "O": [ 1632 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 24 ],
- "CO": [ 1633 ],
- "I0": [ "0" ],
- "I1": [ 26 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 17 ],
- "I3": [ 1633 ],
- "O": [ 901 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1633 ],
- "CO": [ 1634 ],
- "I0": [ "0" ],
- "I1": [ 17 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 25 ],
- "I3": [ 1634 ],
- "O": [ 903 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1634 ],
- "CO": [ 1635 ],
- "I0": [ "0" ],
- "I1": [ 25 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 20 ],
- "I3": [ 1635 ],
- "O": [ 905 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1635 ],
- "CO": [ 1636 ],
- "I0": [ "0" ],
- "I1": [ 20 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 21 ],
- "I3": [ 1636 ],
- "O": [ 907 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1636 ],
- "CO": [ 1637 ],
- "I0": [ "0" ],
- "I1": [ 21 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 22 ],
- "I3": [ 1637 ],
- "O": [ 909 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1637 ],
- "CO": [ 1638 ],
- "I0": [ "0" ],
- "I1": [ 22 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 23 ],
- "I3": [ 1638 ],
- "O": [ 911 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1638 ],
- "CO": [ 1639 ],
- "I0": [ "0" ],
- "I1": [ 23 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 18 ],
- "I3": [ 1639 ],
- "O": [ 913 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1639 ],
- "CO": [ 1640 ],
- "I0": [ "0" ],
- "I1": [ 18 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 15 ],
- "I3": [ 1640 ],
- "O": [ 1641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 28 ],
- "I3": [ "0" ],
- "O": [ 1642 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 28 ],
- "CO": [ 1643 ],
- "I0": [ "0" ],
- "I1": [ 29 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 38 ],
- "I3": [ 1643 ],
- "O": [ 1644 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1643 ],
- "CO": [ 1645 ],
- "I0": [ "0" ],
- "I1": [ 38 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 37 ],
- "I3": [ 1645 ],
- "O": [ 1646 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1645 ],
- "CO": [ 1647 ],
- "I0": [ "0" ],
- "I1": [ 37 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 30 ],
- "I3": [ 1647 ],
- "O": [ 1648 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1647 ],
- "CO": [ 1649 ],
- "I0": [ "0" ],
- "I1": [ 30 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 32 ],
- "I3": [ 1649 ],
- "O": [ 1650 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1649 ],
- "CO": [ 1651 ],
- "I0": [ "0" ],
- "I1": [ 32 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 33 ],
- "I3": [ 1651 ],
- "O": [ 1652 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1651 ],
- "CO": [ 1653 ],
- "I0": [ "0" ],
- "I1": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 36 ],
- "I3": [ 1653 ],
- "O": [ 1654 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1653 ],
- "CO": [ 1655 ],
- "I0": [ "0" ],
- "I1": [ 36 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 39 ],
- "I3": [ 1655 ],
- "O": [ 1656 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1655 ],
- "CO": [ 1657 ],
- "I0": [ "0" ],
- "I1": [ 39 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 35 ],
- "I3": [ 1657 ],
- "O": [ 1658 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$799.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1278 ],
- "CO": [ 1659 ],
- "I0": [ 910 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$799.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 912 ],
- "I2": [ "1" ],
- "I3": [ 1659 ],
- "O": [ 1660 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$799.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1659 ],
- "CO": [ 1661 ],
- "I0": [ 912 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$799.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 914 ],
- "I2": [ "1" ],
- "I3": [ 1661 ],
- "O": [ 1662 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 103 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 359 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1246 ],
- "I2": [ 1160 ],
- "I3": [ 1663 ],
- "O": [ 519 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1663 ],
- "CO": [ 1664 ],
- "I0": [ 1246 ],
- "I1": [ 1160 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1247 ],
- "I2": [ 1180 ],
- "I3": [ 1664 ],
- "O": [ 998 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1664 ],
- "CO": [ 1665 ],
- "I0": [ 1247 ],
- "I1": [ 1180 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1248 ],
- "I2": [ 1205 ],
- "I3": [ 1665 ],
- "O": [ 1327 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1665 ],
- "CO": [ 1666 ],
- "I0": [ 1248 ],
- "I1": [ 1205 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1249 ],
- "I2": [ 1225 ],
- "I3": [ 1666 ],
- "O": [ 1322 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1666 ],
- "CO": [ 1667 ],
- "I0": [ 1249 ],
- "I1": [ 1225 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1250 ],
- "I2": [ 1237 ],
- "I3": [ 1667 ],
- "O": [ 1332 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1667 ],
- "CO": [ 1668 ],
- "I0": [ 1250 ],
- "I1": [ 1237 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1025 ],
- "I2": [ 1243 ],
- "I3": [ 1668 ],
- "O": [ 1337 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1669 ],
- "I0": [ 1000 ],
- "I1": [ 48 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1244 ],
- "I2": [ 1138 ],
- "I3": [ 1669 ],
- "O": [ 502 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1669 ],
- "CO": [ 1670 ],
- "I0": [ 1244 ],
- "I1": [ 1138 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1245 ],
- "I2": [ 1140 ],
- "I3": [ 1670 ],
- "O": [ 508 ]
- }
- },
- "$auto$maccmap.cc:240:synth$2377.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1670 ],
- "CO": [ 1663 ],
- "I0": [ 1245 ],
- "I1": [ 1140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1232": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1002 ],
- "Q": [ 1344 ],
- "R": [ 432 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1243": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 528 ],
- "Q": [ 1340 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1244": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 530 ],
- "Q": [ 1347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1245": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 532 ],
- "Q": [ 1352 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1246": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 534 ],
- "Q": [ 1357 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1247": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 536 ],
- "Q": [ 1362 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1248": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 538 ],
- "Q": [ 1367 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1249": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 540 ],
- "Q": [ 1372 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1250": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 542 ],
- "Q": [ 1377 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1251": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 544 ],
- "Q": [ 1382 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1252": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 546 ],
- "Q": [ 1387 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 548 ],
- "Q": [ 1392 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 550 ],
- "Q": [ 1397 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1255": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 552 ],
- "Q": [ 1402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 554 ],
- "Q": [ 1407 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 556 ],
- "Q": [ 1412 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1258": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 558 ],
- "Q": [ 1417 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1300": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:122|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 528 ],
- "E": [ 1005 ],
- "Q": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1301": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1261 ],
- "Q": [ 256 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1316": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1003 ],
- "Q": [ 1341 ],
- "R": [ 43 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1334": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1345 ],
- "Q": [ 459 ],
- "S": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1335": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1350 ],
- "Q": [ 458 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1336": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1355 ],
- "Q": [ 457 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1337": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1360 ],
- "Q": [ 455 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1338": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1365 ],
- "Q": [ 609 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1339": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1370 ],
- "Q": [ 611 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1340": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1375 ],
- "Q": [ 583 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1341": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1380 ],
- "Q": [ 579 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1342": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1385 ],
- "Q": [ 588 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1343": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1390 ],
- "Q": [ 592 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1344": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1395 ],
- "Q": [ 632 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1345": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1400 ],
- "Q": [ 624 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1346": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1405 ],
- "Q": [ 626 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1347": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1410 ],
- "Q": [ 615 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1348": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1415 ],
- "Q": [ 571 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1349": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1420 ],
- "Q": [ 581 ],
- "R": [ 1251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1350": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 256 ],
- "Q": [ 1008 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1351": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 271 ],
- "Q": [ 274 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1352": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 290 ],
- "Q": [ 294 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1353": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 297 ],
- "Q": [ 300 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1354": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 265 ],
- "Q": [ 268 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1355": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 390 ],
- "Q": [ 393 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1356": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 375 ],
- "Q": [ 378 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1357": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 397 ],
- "Q": [ 400 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1358": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 404 ],
- "Q": [ 407 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1359": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 250 ],
- "Q": [ 254 ],
- "R": [ 249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1367": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 565 ],
- "E": [ 1008 ],
- "Q": [ 575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1368": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 632 ],
- "E": [ 1009 ],
- "Q": [ 572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1369": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 624 ],
- "E": [ 1009 ],
- "Q": [ 582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1370": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 626 ],
- "E": [ 1009 ],
- "Q": [ 584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1371": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 615 ],
- "E": [ 1009 ],
- "Q": [ 589 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1372": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 571 ],
- "E": [ 1009 ],
- "Q": [ 593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1373": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 609 ],
- "E": [ 1009 ],
- "Q": [ 595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1374": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 611 ],
- "E": [ 1009 ],
- "Q": [ 597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1375": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 583 ],
- "E": [ 1009 ],
- "Q": [ 599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1376": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 579 ],
- "E": [ 1009 ],
- "Q": [ 601 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1377": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 588 ],
- "E": [ 1009 ],
- "Q": [ 603 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1378": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 592 ],
- "E": [ 1009 ],
- "Q": [ 605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1379": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 581 ],
- "E": [ 1009 ],
- "Q": [ 607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1380": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 632 ],
- "Q": [ 81 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 624 ],
- "Q": [ 75 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1382": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 626 ],
- "Q": [ 79 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1383": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 615 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1384": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 574 ],
- "Q": [ 99 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1385": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 578 ],
- "Q": [ 118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 587 ],
- "Q": [ 138 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1387": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 591 ],
- "Q": [ 162 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1388": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 594 ],
- "Q": [ 349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1389": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 596 ],
- "Q": [ 345 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1390": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 598 ],
- "Q": [ 337 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1391": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 600 ],
- "Q": [ 333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1392": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 602 ],
- "Q": [ 224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1393": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 604 ],
- "Q": [ 213 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1394": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 606 ],
- "Q": [ 235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 608 ],
- "Q": [ 246 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1396": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 564 ],
- "Q": [ 67 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1397": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 563 ],
- "Q": [ 69 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1398": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 561 ],
- "Q": [ 253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1399": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 456 ],
- "Q": [ 68 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1400": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 454 ],
- "Q": [ 70 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1401": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 460 ],
- "Q": [ 1013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1402": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 609 ],
- "Q": [ 1010 ],
- "S": [ 990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1403": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 611 ],
- "Q": [ 1012 ],
- "S": [ 990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1404": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 583 ],
- "Q": [ 1011 ],
- "S": [ 990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1405": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 616 ],
- "Q": [ 166 ],
- "R": [ 1007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1406": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 617 ],
- "Q": [ 165 ],
- "R": [ 1007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1407": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 618 ],
- "Q": [ 164 ],
- "R": [ 1007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1408": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1505 ],
- "Q": [ 272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1409": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1507 ],
- "Q": [ 292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1410": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1509 ],
- "Q": [ 298 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1411": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1511 ],
- "Q": [ 266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1412": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1513 ],
- "Q": [ 391 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1413": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1515 ],
- "Q": [ 376 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1414": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1517 ],
- "Q": [ 398 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1415": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1519 ],
- "Q": [ 405 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1416": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1521 ],
- "Q": [ 251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1794": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1014 ],
- "Q": [ 631 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1795": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1014 ],
- "Q": [ 640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1796": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1014 ],
- "Q": [ 648 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1797": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1014 ],
- "Q": [ 656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1798": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1014 ],
- "Q": [ 664 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1799": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1014 ],
- "Q": [ 672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1800": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1014 ],
- "Q": [ 680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1801": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1014 ],
- "Q": [ 688 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1802": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1014 ],
- "Q": [ 696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1803": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1014 ],
- "Q": [ 704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1804": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1014 ],
- "Q": [ 712 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1805": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1014 ],
- "Q": [ 720 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1806": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1014 ],
- "Q": [ 728 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1807": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1014 ],
- "Q": [ 736 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1808": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1014 ],
- "Q": [ 744 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1809": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1014 ],
- "Q": [ 752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1811": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1015 ],
- "Q": [ 1421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1812": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1015 ],
- "Q": [ 1424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1813": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1015 ],
- "Q": [ 1427 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1814": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1015 ],
- "Q": [ 1430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1815": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1015 ],
- "Q": [ 1433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1816": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1015 ],
- "Q": [ 1436 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1817": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1015 ],
- "Q": [ 1439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1818": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1015 ],
- "Q": [ 1442 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1819": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1015 ],
- "Q": [ 1445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1820": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1015 ],
- "Q": [ 1448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1821": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1015 ],
- "Q": [ 1451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1822": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1015 ],
- "Q": [ 1454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1823": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1015 ],
- "Q": [ 1457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1824": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1015 ],
- "Q": [ 1460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1825": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1015 ],
- "Q": [ 1463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1826": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1015 ],
- "Q": [ 1466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1827": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1017 ],
- "Q": [ 629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1828": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1017 ],
- "Q": [ 638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1829": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1017 ],
- "Q": [ 646 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1830": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1017 ],
- "Q": [ 654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1831": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1017 ],
- "Q": [ 662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1832": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1017 ],
- "Q": [ 670 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1833": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1017 ],
- "Q": [ 678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1834": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1017 ],
- "Q": [ 686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1835": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1017 ],
- "Q": [ 694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1836": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1017 ],
- "Q": [ 702 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1837": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1017 ],
- "Q": [ 710 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1838": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1017 ],
- "Q": [ 718 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1839": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1017 ],
- "Q": [ 726 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1840": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1017 ],
- "Q": [ 734 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1841": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1017 ],
- "Q": [ 742 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1842": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1017 ],
- "Q": [ 750 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1843": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1016 ],
- "Q": [ 807 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1844": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1016 ],
- "Q": [ 813 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1845": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1016 ],
- "Q": [ 819 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1846": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1016 ],
- "Q": [ 825 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1847": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1016 ],
- "Q": [ 831 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1848": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1016 ],
- "Q": [ 837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1849": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1016 ],
- "Q": [ 843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1850": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1016 ],
- "Q": [ 849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1851": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1016 ],
- "Q": [ 855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1852": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1016 ],
- "Q": [ 861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1853": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1016 ],
- "Q": [ 867 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1854": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1016 ],
- "Q": [ 873 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1855": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1016 ],
- "Q": [ 879 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1856": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1016 ],
- "Q": [ 885 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1857": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1016 ],
- "Q": [ 891 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1858": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1016 ],
- "Q": [ 897 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1859": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1020 ],
- "Q": [ 1422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1860": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1020 ],
- "Q": [ 1425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1861": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1020 ],
- "Q": [ 1428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1862": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1020 ],
- "Q": [ 1431 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1863": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1020 ],
- "Q": [ 1434 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1864": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1020 ],
- "Q": [ 1437 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1865": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1020 ],
- "Q": [ 1440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1866": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1020 ],
- "Q": [ 1443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1867": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1020 ],
- "Q": [ 1446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1868": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1020 ],
- "Q": [ 1449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1869": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1020 ],
- "Q": [ 1452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1870": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1020 ],
- "Q": [ 1455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1871": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1020 ],
- "Q": [ 1458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1872": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1020 ],
- "Q": [ 1461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1873": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1020 ],
- "Q": [ 1464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1874": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1020 ],
- "Q": [ 1467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1876": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1021 ],
- "Q": [ 808 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1877": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1021 ],
- "Q": [ 814 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1878": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1021 ],
- "Q": [ 820 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1879": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1021 ],
- "Q": [ 826 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1880": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1021 ],
- "Q": [ 832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1881": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1021 ],
- "Q": [ 838 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1882": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1021 ],
- "Q": [ 844 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1883": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1021 ],
- "Q": [ 850 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1884": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1021 ],
- "Q": [ 856 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1885": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1021 ],
- "Q": [ 862 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1886": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1021 ],
- "Q": [ 868 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1887": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1021 ],
- "Q": [ 874 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1888": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1021 ],
- "Q": [ 880 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1889": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1021 ],
- "Q": [ 886 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1890": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1021 ],
- "Q": [ 892 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1891": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1021 ],
- "Q": [ 898 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1892": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 623 ],
- "Q": [ 82 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 636 ],
- "Q": [ 76 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 644 ],
- "Q": [ 80 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 652 ],
- "Q": [ 65 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 660 ],
- "Q": [ 100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 668 ],
- "Q": [ 119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 676 ],
- "Q": [ 139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 684 ],
- "Q": [ 163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 692 ],
- "Q": [ 348 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 700 ],
- "Q": [ 344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 708 ],
- "Q": [ 336 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1903": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 716 ],
- "Q": [ 332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1904": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 724 ],
- "Q": [ 223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1905": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 732 ],
- "Q": [ 212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1906": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 740 ],
- "Q": [ 234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1907": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 748 ],
- "Q": [ 245 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1924": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1019 ],
- "Q": [ 628 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1925": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1019 ],
- "Q": [ 637 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1926": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1019 ],
- "Q": [ 645 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1927": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1019 ],
- "Q": [ 653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1928": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1019 ],
- "Q": [ 661 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1929": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1019 ],
- "Q": [ 669 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1930": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1019 ],
- "Q": [ 677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1931": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1019 ],
- "Q": [ 685 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1932": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1019 ],
- "Q": [ 693 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1933": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1019 ],
- "Q": [ 701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1934": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1019 ],
- "Q": [ 709 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1935": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1019 ],
- "Q": [ 717 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1936": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1019 ],
- "Q": [ 725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1937": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1019 ],
- "Q": [ 733 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1938": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1019 ],
- "Q": [ 741 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1939": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1019 ],
- "Q": [ 749 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1972": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 491 ],
- "E": [ 1018 ],
- "Q": [ 630 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1973": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 281 ],
- "E": [ 1018 ],
- "Q": [ 639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1974": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 303 ],
- "E": [ 1018 ],
- "Q": [ 647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1975": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 258 ],
- "E": [ 1018 ],
- "Q": [ 655 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1976": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 381 ],
- "E": [ 1018 ],
- "Q": [ 663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1977": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 492 ],
- "E": [ 1018 ],
- "Q": [ 671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1978": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 184 ],
- "E": [ 1018 ],
- "Q": [ 679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1979": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 493 ],
- "E": [ 1018 ],
- "Q": [ 687 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1980": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 496 ],
- "E": [ 1018 ],
- "Q": [ 695 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1981": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 507 ],
- "E": [ 1018 ],
- "Q": [ 703 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1982": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 518 ],
- "E": [ 1018 ],
- "Q": [ 711 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1983": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 993 ],
- "E": [ 1018 ],
- "Q": [ 719 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1984": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 217 ],
- "E": [ 1018 ],
- "Q": [ 727 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1985": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 206 ],
- "E": [ 1018 ],
- "Q": [ 735 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1986": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 228 ],
- "E": [ 1018 ],
- "Q": [ 743 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1987": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 239 ],
- "E": [ 1018 ],
- "Q": [ 751 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2004": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 806 ],
- "Q": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2005": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 812 ],
- "Q": [ 78 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2006": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 818 ],
- "Q": [ 84 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2007": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 824 ],
- "Q": [ 89 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2008": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 830 ],
- "Q": [ 97 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2009": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 836 ],
- "Q": [ 115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2010": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 842 ],
- "Q": [ 132 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2011": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 848 ],
- "Q": [ 155 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2012": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 854 ],
- "Q": [ 351 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2013": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 860 ],
- "Q": [ 347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2014": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 866 ],
- "Q": [ 339 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2015": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 872 ],
- "Q": [ 335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2016": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 878 ],
- "Q": [ 222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2017": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 884 ],
- "Q": [ 211 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2018": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 890 ],
- "Q": [ 233 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2019": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 896 ],
- "Q": [ 244 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2068": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1287 ],
- "E": [ 468 ],
- "Q": [ 1286 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2069": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 10 ],
- "E": [ 464 ],
- "Q": [ 1671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2070": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1589 ],
- "Q": [ 461 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2071": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1279 ],
- "E": [ 462 ],
- "Q": [ 465 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2072": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1591 ],
- "Q": [ 466 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2073": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1593 ],
- "Q": [ 467 ],
- "R": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2074": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1672 ],
- "E": [ 468 ],
- "Q": [ 754 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2075": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1673 ],
- "E": [ 468 ],
- "Q": [ 756 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2076": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1674 ],
- "E": [ 468 ],
- "Q": [ 759 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2077": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1675 ],
- "E": [ 468 ],
- "Q": [ 762 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2078": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1676 ],
- "E": [ 468 ],
- "Q": [ 765 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2079": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1677 ],
- "E": [ 468 ],
- "Q": [ 768 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2080": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1678 ],
- "E": [ 468 ],
- "Q": [ 771 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2081": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1679 ],
- "E": [ 468 ],
- "Q": [ 774 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2082": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1680 ],
- "E": [ 468 ],
- "Q": [ 777 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2083": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1681 ],
- "E": [ 468 ],
- "Q": [ 780 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2084": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1682 ],
- "E": [ 468 ],
- "Q": [ 783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2085": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1683 ],
- "E": [ 468 ],
- "Q": [ 786 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2086": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1684 ],
- "E": [ 468 ],
- "Q": [ 789 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2087": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1685 ],
- "E": [ 468 ],
- "Q": [ 792 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2088": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1686 ],
- "E": [ 468 ],
- "Q": [ 795 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2089": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 8 ],
- "E": [ 468 ],
- "Q": [ 798 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2090": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1671 ],
- "E": [ 468 ],
- "Q": [ 471 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2092": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1673 ],
- "E": [ 1260 ],
- "Q": [ 1672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2093": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1674 ],
- "E": [ 1260 ],
- "Q": [ 1673 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2094": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1675 ],
- "E": [ 1260 ],
- "Q": [ 1674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2095": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1676 ],
- "E": [ 1260 ],
- "Q": [ 1675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2096": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1677 ],
- "E": [ 1260 ],
- "Q": [ 1676 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2097": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1678 ],
- "E": [ 1260 ],
- "Q": [ 1677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2098": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1679 ],
- "E": [ 1260 ],
- "Q": [ 1678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2099": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1680 ],
- "E": [ 1260 ],
- "Q": [ 1679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2100": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1681 ],
- "E": [ 1260 ],
- "Q": [ 1680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2101": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1682 ],
- "E": [ 1260 ],
- "Q": [ 1681 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2102": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1683 ],
- "E": [ 1260 ],
- "Q": [ 1682 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2103": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1684 ],
- "E": [ 1260 ],
- "Q": [ 1683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2104": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1685 ],
- "E": [ 1260 ],
- "Q": [ 1684 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2105": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1686 ],
- "E": [ 1260 ],
- "Q": [ 1685 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2106": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 8 ],
- "E": [ 1260 ],
- "Q": [ 1686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2107": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1594 ],
- "E": [ 1258 ],
- "Q": [ 418 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2108": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1280 ],
- "E": [ 469 ],
- "Q": [ 419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2109": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1608 ],
- "E": [ 1258 ],
- "Q": [ 420 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2110": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1610 ],
- "E": [ 1258 ],
- "Q": [ 421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2111": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1612 ],
- "E": [ 1258 ],
- "Q": [ 414 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2112": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1614 ],
- "E": [ 1258 ],
- "Q": [ 415 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2113": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1616 ],
- "E": [ 1258 ],
- "Q": [ 416 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2114": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1618 ],
- "E": [ 1258 ],
- "Q": [ 417 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2115": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1620 ],
- "E": [ 1258 ],
- "Q": [ 426 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2116": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1622 ],
- "E": [ 1258 ],
- "Q": [ 427 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2117": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1596 ],
- "E": [ 1258 ],
- "Q": [ 428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2118": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1598 ],
- "E": [ 1258 ],
- "Q": [ 429 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2119": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1600 ],
- "E": [ 1258 ],
- "Q": [ 422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2120": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1602 ],
- "E": [ 1258 ],
- "Q": [ 423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2121": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1604 ],
- "E": [ 1258 ],
- "Q": [ 424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2122": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1606 ],
- "E": [ 1258 ],
- "Q": [ 425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2123": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 470 ],
- "E": [ 472 ],
- "Q": [ 177 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2124": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 754 ],
- "E": [ 475 ],
- "Q": [ 527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2125": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 756 ],
- "E": [ 475 ],
- "Q": [ 529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2126": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 759 ],
- "E": [ 475 ],
- "Q": [ 531 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2127": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 762 ],
- "E": [ 475 ],
- "Q": [ 533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2128": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 765 ],
- "E": [ 475 ],
- "Q": [ 535 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2129": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 768 ],
- "E": [ 475 ],
- "Q": [ 537 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2130": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 771 ],
- "E": [ 475 ],
- "Q": [ 539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2131": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 774 ],
- "E": [ 475 ],
- "Q": [ 541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2132": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 777 ],
- "E": [ 475 ],
- "Q": [ 543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2133": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 780 ],
- "E": [ 475 ],
- "Q": [ 545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2134": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 783 ],
- "E": [ 475 ],
- "Q": [ 547 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2135": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 786 ],
- "E": [ 475 ],
- "Q": [ 549 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2136": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 789 ],
- "E": [ 475 ],
- "Q": [ 551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2137": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 792 ],
- "E": [ 475 ],
- "Q": [ 553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2138": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 795 ],
- "E": [ 475 ],
- "Q": [ 555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2139": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 798 ],
- "E": [ 475 ],
- "Q": [ 557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2140": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 755 ],
- "E": [ 476 ],
- "Q": [ 313 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2141": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 757 ],
- "E": [ 477 ],
- "Q": [ 280 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2142": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 760 ],
- "E": [ 476 ],
- "Q": [ 302 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2143": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 763 ],
- "E": [ 476 ],
- "Q": [ 257 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2144": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 766 ],
- "E": [ 476 ],
- "Q": [ 380 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2145": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 769 ],
- "E": [ 476 ],
- "Q": [ 366 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2146": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 772 ],
- "E": [ 476 ],
- "Q": [ 183 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2147": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 775 ],
- "E": [ 476 ],
- "Q": [ 46 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2148": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 778 ],
- "E": [ 476 ],
- "Q": [ 503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2149": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 781 ],
- "E": [ 476 ],
- "Q": [ 514 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2150": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 784 ],
- "E": [ 476 ],
- "Q": [ 525 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2151": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 787 ],
- "E": [ 476 ],
- "Q": [ 1576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2152": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 790 ],
- "E": [ 476 ],
- "Q": [ 216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2153": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 793 ],
- "E": [ 476 ],
- "Q": [ 205 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2154": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 796 ],
- "E": [ 476 ],
- "Q": [ 227 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2155": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 799 ],
- "E": [ 476 ],
- "Q": [ 238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2156": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 413 ],
- "Q": [ 178 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2157": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:118|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1285 ],
- "E": [ 470 ],
- "Q": [ 473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 441 ],
- "Q": [ 443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 800 ],
- "Q": [ 445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 801 ],
- "Q": [ 451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 802 ],
- "Q": [ 450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 446 ],
- "Q": [ 449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 436 ],
- "Q": [ 439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 433 ],
- "Q": [ 452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:143|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 430 ],
- "Q": [ 453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 191 ],
- "Q": [ 270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 277 ],
- "Q": [ 291 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 278 ],
- "Q": [ 296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 899 ],
- "Q": [ 196 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 363 ],
- "Q": [ 389 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 361 ],
- "Q": [ 373 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2621": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 180 ],
- "Q": [ 395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:153|hdl/ice40.v:199|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 41 ],
- "Q": [ 402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:150|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1687 ],
- "Q": [ 474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2844": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:147|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 9 ],
- "D": [ 1286 ],
- "Q": [ 1688 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:150|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 11 ],
- "D": [ 1688 ],
- "Q": [ 1687 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3016": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1642 ],
- "Q": [ 28 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3017": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1283 ],
- "E": [ 28 ],
- "Q": [ 29 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3018": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1644 ],
- "Q": [ 38 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3019": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1646 ],
- "Q": [ 37 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3020": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1648 ],
- "Q": [ 30 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3021": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1650 ],
- "Q": [ 32 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3022": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1652 ],
- "Q": [ 33 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3023": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1654 ],
- "Q": [ 36 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3024": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1656 ],
- "Q": [ 39 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3025": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1658 ],
- "Q": [ 35 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3026": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1632 ],
- "E": [ 31 ],
- "Q": [ 24 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3027": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 900 ],
- "Q": [ 26 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3028": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 902 ],
- "Q": [ 17 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3029": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 904 ],
- "Q": [ 25 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3030": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 906 ],
- "Q": [ 20 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3031": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1278 ],
- "Q": [ 21 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3032": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 910 ],
- "Q": [ 22 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3033": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 912 ],
- "Q": [ 23 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3034": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 914 ],
- "Q": [ 18 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3035": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1641 ],
- "E": [ 31 ],
- "Q": [ 15 ],
- "R": [ 16 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3036": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 31 ],
- "Q": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3037": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 918 ],
- "Q": [ 485 ],
- "R": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3038": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1252 ],
- "E": [ 479 ],
- "Q": [ 6 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3039": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:91|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1252 ],
- "E": [ 483 ],
- "Q": [ 7 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3219": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 952 ],
- "E": [ 486 ],
- "Q": [ 951 ],
- "R": [ 1253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3220": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 953 ],
- "E": [ 486 ],
- "Q": [ 954 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3221": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 956 ],
- "E": [ 486 ],
- "Q": [ 957 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3222": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 958 ],
- "E": [ 486 ],
- "Q": [ 959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3223": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 961 ],
- "E": [ 486 ],
- "Q": [ 962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3224": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 963 ],
- "E": [ 486 ],
- "Q": [ 964 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3225": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 966 ],
- "E": [ 486 ],
- "Q": [ 967 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3226": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 968 ],
- "E": [ 486 ],
- "Q": [ 969 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3227": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 971 ],
- "E": [ 486 ],
- "Q": [ 972 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3228": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 973 ],
- "E": [ 486 ],
- "Q": [ 974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3229": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 976 ],
- "E": [ 486 ],
- "Q": [ 977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3230": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 978 ],
- "E": [ 486 ],
- "Q": [ 979 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3231": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 981 ],
- "E": [ 486 ],
- "Q": [ 982 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3232": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 983 ],
- "E": [ 486 ],
- "Q": [ 984 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3233": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 986 ],
- "E": [ 486 ],
- "Q": [ 987 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3234": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 988 ],
- "E": [ 486 ],
- "Q": [ 559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3236": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1623 ],
- "E": [ 488 ],
- "Q": [ 489 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3237": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1281 ],
- "E": [ 490 ],
- "Q": [ 928 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3238": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1625 ],
- "E": [ 488 ],
- "Q": [ 929 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3239": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 1627 ],
- "E": [ 488 ],
- "Q": [ 930 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3240": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 926 ],
- "Q": [ 923 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3241": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 933 ],
- "Q": [ 932 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3242": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 937 ],
- "Q": [ 935 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3243": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 941 ],
- "Q": [ 939 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3244": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 945 ],
- "Q": [ 943 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3245": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 949 ],
- "Q": [ 947 ],
- "R": [ 487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3246": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:98|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 12 ],
- "D": [ 925 ],
- "Q": [ 484 ],
- "S": [ 487 ]
- }
- },
- "pll0.pll_inst": {
- "hide_name": 0,
- "type": "SB_PLL40_2_PAD",
- "parameters": {
- "DELAY_ADJUSTMENT_MODE_FEEDBACK": "FIXED",
- "DIVF": 66,
- "DIVQ": 5,
- "DIVR": 0,
- "ENABLE_ICEGATE_PORTA": 0,
- "ENABLE_ICEGATE_PORTB": 0,
- "FDA_FEEDBACK": 0,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 1,
- "PLLOUT_SELECT_PORTB": "GENCLK",
- "SHIFTREG_DIV_MODE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "hdl/ice40.v:29|hdl/lattice/pll_12_25.v:12"
- },
- "port_directions": {
- "BYPASS": "input",
- "DYNAMICDELAY": "input",
- "EXTFEEDBACK": "input",
- "LATCHINPUTVALUE": "input",
- "LOCK": "output",
- "PACKAGEPIN": "input",
- "PLLOUTCOREA": "output",
- "PLLOUTCOREB": "output",
- "PLLOUTGLOBALA": "output",
- "PLLOUTGLOBALB": "output",
- "RESETB": "input",
- "SCLK": "input",
- "SDI": "input",
- "SDO": "output"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "DYNAMICDELAY": [ ],
- "EXTFEEDBACK": [ ],
- "LATCHINPUTVALUE": [ ],
- "LOCK": [ 1689 ],
- "PACKAGEPIN": [ 2 ],
- "PLLOUTCOREA": [ ],
- "PLLOUTCOREB": [ ],
- "PLLOUTGLOBALA": [ 11 ],
- "PLLOUTGLOBALB": [ 12 ],
- "RESETB": [ "1" ],
- "SCLK": [ ],
- "SDI": [ ],
- "SDO": [ ]
- }
- },
- "ram0.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284, 1284 ],
- "RADDR": [ 441, 800, 801, 802, 446, 436, 433, 430, "0", "0", "0" ],
- "RCLK": [ 11 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1343, 1349, 1354, 1359, 1364, 1369, 1374, 1379, 1384, 1389, 1394, 1399, 1404, 1409, 1414, 1419 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, "0", "0", "0" ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1002 ],
- "WDATA": [ 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558 ],
- "WE": [ "1" ]
- }
- },
- "ram1.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 0,
- "WRITE_MODE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:191|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288, 1288 ],
- "RADDR": [ 191, 277, 278, 899, 363, 361, 180, 41, "0", "0", "0" ],
- "RCLK": [ 11 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1339, 1346, 1351, 1356, 1361, 1366, 1371, 1376, 1381, 1386, 1391, 1396, 1401, 1406, 1411, 1416 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, "0", "0", "0" ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1003 ],
- "WDATA": [ 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558 ],
- "WE": [ "1" ]
- }
- },
- "vga.pixeldata0.pattern_rom.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1011110111010000000111001100000110101101110000010110110011000001101011110100000100100110010000010111010100010000000000000000000000000000000000000100010000000000000000000100000001000000000000000100110010000000100011000000000011001000000000000000000000000000",
- "INIT_1": "1101111000100000000111111110000000110011001100100001101110110001101110110011001100000111011100010100011000110000000000000000000011011101000100000000110111010001000111001101000111011101000100010001011001010001000101110111000101010111001100000000000000000000",
- "INIT_2": "1101111101100000000100100010000010011000100100000111110110010001101110100001000000010011001000000101010001000000000000000000000011111100100000000011111111000000000111011111000010111111110100010001110111010000001111111110000001111100100000000000000000000000",
- "INIT_3": "1100110000000000000111011100000011011101110100000001100110010000001110011001000010111101110000000110010000000000000000000000000000110011001000100001001000100000100110110001001001110101000100010011001100010000000110111010000011111110010000000000000000000000",
- "INIT_4": "1100111000100000111100110000000011100000000100001110000000010000011000000001000011110011000000000100011000100000000000000000000000011101110100010000110011010001001011001100000111101100010000010010010001000001000001000101000100010101010100010001000100010001",
- "INIT_5": "0000110011000000111111000000000011110000000000001011101000100001011010011000000101100100000100010001010101010001000000000000000011010001000100011100111000110001111111110000000111111111001000111111111100000001010001100011000111011101010100010001000100010001",
- "INIT_6": "1000000011001100100000001100110010001000110011000110101011001100010001001100110000000101010001000001000001000100000000000000000011010101010000001000000000010000100000000001000010010001000000001001000000000000100100010001000010010000000000000000000000000000",
- "INIT_7": "1101110000000000000111001101000000011101110000000010110111010001101111110100000000010110011100000101010000000000000000000000000000001000110001000000100111010100000011011101010111001100110101011100100001000101000100000100010100010001010101010000000000000000",
- "INIT_8": "1010111011111001111111010001100111111101010100011011110101010001101111010101000110111101010100010110010000010001000000000000000000100010000000000001001000100000110111110011000000011111111100010011111111110000100111100110000001100110000000000000000000000000",
- "INIT_9": "1110111100010000000001111111000010001110011000000110011100010000100010111011000000000111011100000110011100010000000000000000000000110110011000000101010100000000010110001001000001111110100000001001111001110000000101110110000001110110000000000000000000000000",
- "INIT_A": "1110111011000000000111111110000000001110111100000000111111100000001111101100000000000100010100000011011101100000000000000000000011100011000000011110001100000001111000110010000111111011100000011110001100000001111000110100000101110111010100010000000000000000",
- "INIT_B": "1011100111010100101101011100110011110100110111001111001011001100001100101101110000010011011001000011001001100100000000000000000011110011000100011101111100110001000111111111000100101110110000000000010001000000000001100110000000100110010000000000000000000000",
- "INIT_C": "1111101000000000010110111010000011011011101100000111111110110001000111111110000000010111011000000011011101000000000000000000000000111011110001001001101101100100100101110110000011111111000100010001111111110000000100110110010000110010010001000000000000000000",
- "INIT_D": "1101110011000000111100000000000011110001000100010101000100010001111100010001000111110000000000001101110011000000000000000000000011011100010000000011000100000000101100010001000011011001000100011111110100010000001101010100000001010100010000000000000000000000",
- "INIT_E": "0100000000000000110000010000000011100010000100001101100100010001111000100001000011000001000000000100000000000000000000000000000010010011001100011011011101010001111001000000000001000000000000001010000000000000101000100000000010000010001000000000000000000000",
- "INIT_F": "1111110011001100000100010000100000110001000010000011001110011000000110111011000010011011001100011011001100010001000000000000000000010001000100010001000100010001001100010001000010111011000101001011000111000000000101010000000001010000000000000000000000000000",
- "READ_MODE": 2,
- "WRITE_MODE": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 900, 902, 904, 1690, 1691, 1692, 1693, 1694, 1695, 1696, "0" ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1697, 952, 1698, 1699, 1700, 955, 1701, 1702, 1703, 960, 1704, 1705, 1706, 965, 1707, 1708 ],
- "RE": [ "1" ],
- "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ "0" ],
- "WCLKE": [ "0" ],
- "WDATA": [ "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.pixeldata0.pattern_rom.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000010011011011000011011100000000000101110010110000010101000110000001011110101100000101011000100000000001010111000000000000000000000000000000000000000001000100000001000000000000000100000001000000010000000100000001000000000000000000010001000000000000000000",
- "INIT_1": "0000000011101110000011001110001100001110111100110000110111110011000001111111101100000101011100110000000001110110000000000000000000001100110111010000110111010001000011011101000000001101110111010000110111010010000011011111001100001100111101110000000000000000",
- "INIT_2": "0000010011001101000011101110000000001100111110100000110111010111000001001101100000000110011000010000011001100100000000000000000000000100110011000000110011100011000011001101000100000101110110110000010001110001000001000100001100000100010001000000000000000000",
- "INIT_3": "0000000011001100000001000100000100000100110110010000110011110011000011001101001100000100110010110000000001000110000000000000000000000100111010110000011011101000000011101111100100000101111111010000010011111011000001101110100100000100011011100000000000000000",
- "INIT_4": "0000000011001100000000000000110100000000000111100000000000011110000000000001011000000000000011010000000001000100000000000000000000011111111100010001110111110010000111011100001000011101110011100001110111000010000111011111001000011111111100010001000100010001",
- "INIT_5": "0000110011010001000011011100000000001101110011000000110011110111000011001100010000001100110000000000110011000000000000000000000000010001110111010001110111110010000111011100001100010011001000110001000100000011000100010011001000010001000101010001000100010001",
- "INIT_6": "0000110111010000000011011101000100001100110110010000110011101011000011001100010100000100010001010000010001000001000000000000000000000110011011010000010001111010000001000111101000000100011010110000010001001000000001000101100100000100010010000000000000000000",
- "INIT_7": "0000000011001100000011101111000000001100111000110000110111010011000001001100100100000100010100000000000001000100000000000000000000001111111100000000111111110001000011001101000100001100110010010000110011001101000001000100010100000100010000000000000000000000",
- "INIT_8": "0000001000100010000000000100011000000100110110110000110111010011000011001111001100000100110010100000000001000110000000000000000000001101111100100000111111110001000011111111110100001111111100110000111111110001000011111111100100000101011101100000000000000000",
- "INIT_9": "0000100111111110000001110111000000000000100010000000100101010110000001011101100000000111011100000000000101110110000000000000000000001110111000100000111011100001000011001111011000001100110001000000110011011000000001100110000100000100011001100000000000000000",
- "INIT_A": "0000000011111111000011111110000000001100110000010000110011010000000011111110001000000110011100010000011001100010000000000000000000000000000011000000000000001100000000100010111000001010100111010000000100111100000001010001111000000100010101010000000000000000",
- "INIT_B": "0000010011011011000011001100011100001100110101100000110011000000000011001101000000000110011000010000011001100010000000000000000000000001001111110000001111111101000011111111000100001110111000100000011001100000000001100110000000000100011000100000000000000000",
- "INIT_C": "0000000010101110000000100010010100000000100111010000100111110111000011101110000100000110011000010000010001100011000000000000000000001100111000110000011011101001000000100110110100000001101111110000101011110101000001100110000100000100011000100000000000000000",
- "INIT_D": "0000000000100110000000000000111100000000000111110000000110011101000000000001111100000000000011110000000000000100000000000000000000000100110011000000010011001010000000010101111100000001000101010000000110011011000000000000001000000100010001000000000000000000",
- "INIT_E": "0000110011001100000000000000110100000000001111100000000100010101000000000011111000000000000011010000110011001100000000000000000000000001000110010000000100011001000000010001101100000001001101110000000101011111000001000100100000000000000010000000000000000000",
- "INIT_F": "0000110011001110000010000000000100001000000000110000100010010011000000001001100100000011001110010000000100110011000000000000000000000011001100010000000100110011000000000001001100001100100100010000000011001011000000000010011100000010001000000000000000000000",
- "READ_MODE": 2,
- "WRITE_MODE": 2
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 900, 902, 904, 1690, 1691, 1692, 1693, 1694, 1695, 1696, "0" ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1709, 970, 1710, 1711, 1712, 975, 1713, 1714, 1715, 980, 1716, 1717, 1718, 985, 1719, 1720 ],
- "RE": [ "1" ],
- "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ "0" ],
- "WCLKE": [ "0" ],
- "WDATA": [ "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x", "x", "0", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000001000000010000000100000000000000001000000100000000100000001000000110000001100000010000000000000000000000011000000010000000100000011000000100000001000000000000000000000001000000001000000010000001000000010000000100000000000000000000000110000000000000000",
- "INIT_1": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000001",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000",
- "INIT_5": "0000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_9": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_D": "0000000100000001000000010000000000000000000000010000000000000000000000010000000100000001000000000000000000000001000000000000000000000001000000010000000100000000000000000000000100000000000000000000000100000001000000010000000000000000000000010000000000000000",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1721, 1722, 1723, 1690, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1691, 1731, 1732, 1733, 1734 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1006 ],
- "WDATA": [ "x", "x", "x", 528, "x", "x", "x", "x", "x", "x", "x", 530, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000001000000011000000110000001100000010000000100000001100000011000000100000000100000010000000000000001000000000000000100000000100000000000000110000000000000010000000010000001100000001000000110000000100000001000000010000000100000000000000010000000100000000",
- "INIT_1": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000",
- "INIT_5": "0000001000000010000000100000001000000010000000100000001000000010000000100000000000000010000000000000001000000000000000100000000000000000000000100000000000000010000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_9": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_D": "0000000100000001000000010000000100000001000000010000000100000001000000010000000000000001000000000000000100000000000000010000000000000000000000010000000000000001000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1735, 1736, 1737, 1692, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1693, 1745, 1746, 1747, 1748 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1006 ],
- "WDATA": [ "x", "x", "x", 532, "x", "x", "x", "x", "x", "x", "x", 534, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.2.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000000100000000000000010000000000000001000000010000000100000000000000000000000100000001000000000000000000000000000000000000000000000000000000010000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000000000000",
- "INIT_1": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000100000010",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000",
- "INIT_5": "0000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_9": "0000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000",
- "INIT_D": "0000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1749, 1750, 1751, 1694, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1695, 1759, 1760, 1761, 1762 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1006 ],
- "WDATA": [ "x", "x", "x", 536, "x", "x", "x", "x", "x", "x", "x", 538, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "vga.vram.mem.3.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000000000000011000000000000001100000000000000110000000000000011000000000000001100000000000000100000000000000011000000000000001100000000000000110000000000000010000000000000001000000000000000110000000000000011000000000000001100000000000000110000000000000011",
- "INIT_1": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010",
- "INIT_2": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_3": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_4": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010",
- "INIT_5": "0000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010000000000000001000000000000000100000000000000010",
- "INIT_6": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_7": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_8": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_9": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "INIT_F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "RCLK": [ 12 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1763, 1764, 1765, 1696, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1777 ],
- "RE": [ "1" ],
- "WADDR": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "WCLK": [ 11 ],
- "WCLKE": [ 1006 ],
- "WDATA": [ "x", "x", "x", 540, "x", "x", "x", "x", "x", "x", "x", 542, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\ins_rd_rdy[0:0]": {
- "hide_name": 1,
- "bits": [ 1261 ],
- "attributes": {
- "src": "hdl/ice40.v:64"
- }
- },
- "$abc$8880$n1": {
- "hide_name": 1,
- "bits": [ 1251 ],
- "attributes": {
- }
- },
- "$abc$8880$n1001": {
- "hide_name": 1,
- "bits": [ 202 ],
- "attributes": {
- }
- },
- "$abc$8880$n1003": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$8880$n1005": {
- "hide_name": 1,
- "bits": [ 218 ],
- "attributes": {
- }
- },
- "$abc$8880$n1006": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$8880$n1007": {
- "hide_name": 1,
- "bits": [ 225 ],
- "attributes": {
- }
- },
- "$abc$8880$n1008": {
- "hide_name": 1,
- "bits": [ 226 ],
- "attributes": {
- }
- },
- "$abc$8880$n1012_1": {
- "hide_name": 1,
- "bits": [ 203 ],
- "attributes": {
- }
- },
- "$abc$8880$n1014_1": {
- "hide_name": 1,
- "bits": [ 232 ],
- "attributes": {
- }
- },
- "$abc$8880$n1016_1": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$8880$n1017_1": {
- "hide_name": 1,
- "bits": [ 230 ],
- "attributes": {
- }
- },
- "$abc$8880$n1018_1": {
- "hide_name": 1,
- "bits": [ 236 ],
- "attributes": {
- }
- },
- "$abc$8880$n1019_1": {
- "hide_name": 1,
- "bits": [ 237 ],
- "attributes": {
- }
- },
- "$abc$8880$n1023_1": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$8880$n1025_1": {
- "hide_name": 1,
- "bits": [ 243 ],
- "attributes": {
- }
- },
- "$abc$8880$n1027_1": {
- "hide_name": 1,
- "bits": [ 240 ],
- "attributes": {
- }
- },
- "$abc$8880$n1028_1": {
- "hide_name": 1,
- "bits": [ 241 ],
- "attributes": {
- }
- },
- "$abc$8880$n1029_1": {
- "hide_name": 1,
- "bits": [ 247 ],
- "attributes": {
- }
- },
- "$abc$8880$n1030_1": {
- "hide_name": 1,
- "bits": [ 248 ],
- "attributes": {
- }
- },
- "$abc$8880$n1034_1": {
- "hide_name": 1,
- "bits": [ 200 ],
- "attributes": {
- }
- },
- "$abc$8880$n1036_1": {
- "hide_name": 1,
- "bits": [ 252 ],
- "attributes": {
- }
- },
- "$abc$8880$n1039_1": {
- "hide_name": 1,
- "bits": [ 260 ],
- "attributes": {
- }
- },
- "$abc$8880$n1040_1": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$8880$n1041_1": {
- "hide_name": 1,
- "bits": [ 261 ],
- "attributes": {
- }
- },
- "$abc$8880$n1042_1": {
- "hide_name": 1,
- "bits": [ 262 ],
- "attributes": {
- }
- },
- "$abc$8880$n1043_1": {
- "hide_name": 1,
- "bits": [ 195 ],
- "attributes": {
- }
- },
- "$abc$8880$n1045_1": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$8880$n1048_1": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$8880$n1049_1": {
- "hide_name": 1,
- "bits": [ 193 ],
- "attributes": {
- }
- },
- "$abc$8880$n1052_1": {
- "hide_name": 1,
- "bits": [ 282 ],
- "attributes": {
- }
- },
- "$abc$8880$n1053_1": {
- "hide_name": 1,
- "bits": [ 286 ],
- "attributes": {
- }
- },
- "$abc$8880$n1055_1": {
- "hide_name": 1,
- "bits": [ 283 ],
- "attributes": {
- }
- },
- "$abc$8880$n1056_1": {
- "hide_name": 1,
- "bits": [ 284 ],
- "attributes": {
- }
- },
- "$abc$8880$n1057_1": {
- "hide_name": 1,
- "bits": [ 285 ],
- "attributes": {
- }
- },
- "$abc$8880$n1060_1": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$8880$n1062_1": {
- "hide_name": 1,
- "bits": [ 295 ],
- "attributes": {
- }
- },
- "$abc$8880$n1064_1": {
- "hide_name": 1,
- "bits": [ 299 ],
- "attributes": {
- }
- },
- "$abc$8880$n1067_1": {
- "hide_name": 1,
- "bits": [ 304 ],
- "attributes": {
- }
- },
- "$abc$8880$n1068_1": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$8880$n1070_1": {
- "hide_name": 1,
- "bits": [ 306 ],
- "attributes": {
- }
- },
- "$abc$8880$n1071_1": {
- "hide_name": 1,
- "bits": [ 308 ],
- "attributes": {
- }
- },
- "$abc$8880$n1073_1": {
- "hide_name": 1,
- "bits": [ 311 ],
- "attributes": {
- }
- },
- "$abc$8880$n1074_1": {
- "hide_name": 1,
- "bits": [ 314 ],
- "attributes": {
- }
- },
- "$abc$8880$n1075": {
- "hide_name": 1,
- "bits": [ 753 ],
- "attributes": {
- }
- },
- "$abc$8880$n1075_1": {
- "hide_name": 1,
- "bits": [ 317 ],
- "attributes": {
- }
- },
- "$abc$8880$n1076_1": {
- "hide_name": 1,
- "bits": [ 321 ],
- "attributes": {
- }
- },
- "$abc$8880$n1077_1": {
- "hide_name": 1,
- "bits": [ 322 ],
- "attributes": {
- }
- },
- "$abc$8880$n1078": {
- "hide_name": 1,
- "bits": [ 323 ],
- "attributes": {
- }
- },
- "$abc$8880$n1079_1": {
- "hide_name": 1,
- "bits": [ 324 ],
- "attributes": {
- }
- },
- "$abc$8880$n1080_1": {
- "hide_name": 1,
- "bits": [ 318 ],
- "attributes": {
- }
- },
- "$abc$8880$n1081": {
- "hide_name": 1,
- "bits": [ 758 ],
- "attributes": {
- }
- },
- "$abc$8880$n1081_1": {
- "hide_name": 1,
- "bits": [ 325 ],
- "attributes": {
- }
- },
- "$abc$8880$n1082_1": {
- "hide_name": 1,
- "bits": [ 326 ],
- "attributes": {
- }
- },
- "$abc$8880$n1083_1": {
- "hide_name": 1,
- "bits": [ 327 ],
- "attributes": {
- }
- },
- "$abc$8880$n1084": {
- "hide_name": 1,
- "bits": [ 761 ],
- "attributes": {
- }
- },
- "$abc$8880$n1084_1": {
- "hide_name": 1,
- "bits": [ 328 ],
- "attributes": {
- }
- },
- "$abc$8880$n1085_1": {
- "hide_name": 1,
- "bits": [ 319 ],
- "attributes": {
- }
- },
- "$abc$8880$n1086_1": {
- "hide_name": 1,
- "bits": [ 329 ],
- "attributes": {
- }
- },
- "$abc$8880$n1087": {
- "hide_name": 1,
- "bits": [ 764 ],
- "attributes": {
- }
- },
- "$abc$8880$n1088_1": {
- "hide_name": 1,
- "bits": [ 330 ],
- "attributes": {
- }
- },
- "$abc$8880$n1090": {
- "hide_name": 1,
- "bits": [ 767 ],
- "attributes": {
- }
- },
- "$abc$8880$n1090_1": {
- "hide_name": 1,
- "bits": [ 331 ],
- "attributes": {
- }
- },
- "$abc$8880$n1091_1": {
- "hide_name": 1,
- "bits": [ 320 ],
- "attributes": {
- }
- },
- "$abc$8880$n1092_1": {
- "hide_name": 1,
- "bits": [ 340 ],
- "attributes": {
- }
- },
- "$abc$8880$n1093": {
- "hide_name": 1,
- "bits": [ 770 ],
- "attributes": {
- }
- },
- "$abc$8880$n1093_1": {
- "hide_name": 1,
- "bits": [ 341 ],
- "attributes": {
- }
- },
- "$abc$8880$n1094_1": {
- "hide_name": 1,
- "bits": [ 342 ],
- "attributes": {
- }
- },
- "$abc$8880$n1096": {
- "hide_name": 1,
- "bits": [ 773 ],
- "attributes": {
- }
- },
- "$abc$8880$n1096_1": {
- "hide_name": 1,
- "bits": [ 343 ],
- "attributes": {
- }
- },
- "$abc$8880$n1098_1": {
- "hide_name": 1,
- "bits": [ 315 ],
- "attributes": {
- }
- },
- "$abc$8880$n1099": {
- "hide_name": 1,
- "bits": [ 776 ],
- "attributes": {
- }
- },
- "$abc$8880$n1099_1": {
- "hide_name": 1,
- "bits": [ 312 ],
- "attributes": {
- }
- },
- "$abc$8880$n11": {
- "hide_name": 1,
- "bits": [ 1254 ],
- "attributes": {
- }
- },
- "$abc$8880$n1100_1": {
- "hide_name": 1,
- "bits": [ 353 ],
- "attributes": {
- }
- },
- "$abc$8880$n1101_1": {
- "hide_name": 1,
- "bits": [ 354 ],
- "attributes": {
- }
- },
- "$abc$8880$n1102": {
- "hide_name": 1,
- "bits": [ 779 ],
- "attributes": {
- }
- },
- "$abc$8880$n1102_1": {
- "hide_name": 1,
- "bits": [ 355 ],
- "attributes": {
- }
- },
- "$abc$8880$n1103_1": {
- "hide_name": 1,
- "bits": [ 357 ],
- "attributes": {
- }
- },
- "$abc$8880$n1104_1": {
- "hide_name": 1,
- "bits": [ 352 ],
- "attributes": {
- }
- },
- "$abc$8880$n1105": {
- "hide_name": 1,
- "bits": [ 782 ],
- "attributes": {
- }
- },
- "$abc$8880$n1105_1": {
- "hide_name": 1,
- "bits": [ 181 ],
- "attributes": {
- }
- },
- "$abc$8880$n1107_1": {
- "hide_name": 1,
- "bits": [ 364 ],
- "attributes": {
- }
- },
- "$abc$8880$n1108": {
- "hide_name": 1,
- "bits": [ 785 ],
- "attributes": {
- }
- },
- "$abc$8880$n1108_1": {
- "hide_name": 1,
- "bits": [ 365 ],
- "attributes": {
- }
- },
- "$abc$8880$n1109_1": {
- "hide_name": 1,
- "bits": [ 367 ],
- "attributes": {
- }
- },
- "$abc$8880$n1111": {
- "hide_name": 1,
- "bits": [ 788 ],
- "attributes": {
- }
- },
- "$abc$8880$n1111_1": {
- "hide_name": 1,
- "bits": [ 368 ],
- "attributes": {
- }
- },
- "$abc$8880$n1112_1": {
- "hide_name": 1,
- "bits": [ 369 ],
- "attributes": {
- }
- },
- "$abc$8880$n1114": {
- "hide_name": 1,
- "bits": [ 791 ],
- "attributes": {
- }
- },
- "$abc$8880$n1114_1": {
- "hide_name": 1,
- "bits": [ 374 ],
- "attributes": {
- }
- },
- "$abc$8880$n1116_1": {
- "hide_name": 1,
- "bits": [ 377 ],
- "attributes": {
- }
- },
- "$abc$8880$n1117": {
- "hide_name": 1,
- "bits": [ 794 ],
- "attributes": {
- }
- },
- "$abc$8880$n1119_1": {
- "hide_name": 1,
- "bits": [ 383 ],
- "attributes": {
- }
- },
- "$abc$8880$n1120": {
- "hide_name": 1,
- "bits": [ 797 ],
- "attributes": {
- }
- },
- "$abc$8880$n1120_1": {
- "hide_name": 1,
- "bits": [ 382 ],
- "attributes": {
- }
- },
- "$abc$8880$n1121_1": {
- "hide_name": 1,
- "bits": [ 384 ],
- "attributes": {
- }
- },
- "$abc$8880$n1122_1": {
- "hide_name": 1,
- "bits": [ 385 ],
- "attributes": {
- }
- },
- "$abc$8880$n1123": {
- "hide_name": 1,
- "bits": [ 1386 ],
- "attributes": {
- }
- },
- "$abc$8880$n1123_1": {
- "hide_name": 1,
- "bits": [ 386 ],
- "attributes": {
- }
- },
- "$abc$8880$n1124": {
- "hide_name": 1,
- "bits": [ 1387 ],
- "attributes": {
- }
- },
- "$abc$8880$n1126": {
- "hide_name": 1,
- "bits": [ 392 ],
- "attributes": {
- }
- },
- "$abc$8880$n1128": {
- "hide_name": 1,
- "bits": [ 396 ],
- "attributes": {
- }
- },
- "$abc$8880$n1130": {
- "hide_name": 1,
- "bits": [ 399 ],
- "attributes": {
- }
- },
- "$abc$8880$n1132": {
- "hide_name": 1,
- "bits": [ 403 ],
- "attributes": {
- }
- },
- "$abc$8880$n1134": {
- "hide_name": 1,
- "bits": [ 406 ],
- "attributes": {
- }
- },
- "$abc$8880$n1136": {
- "hide_name": 1,
- "bits": [ 409 ],
- "attributes": {
- }
- },
- "$abc$8880$n1137": {
- "hide_name": 1,
- "bits": [ 410 ],
- "attributes": {
- }
- },
- "$abc$8880$n1138": {
- "hide_name": 1,
- "bits": [ 411 ],
- "attributes": {
- }
- },
- "$abc$8880$n1139": {
- "hide_name": 1,
- "bits": [ 412 ],
- "attributes": {
- }
- },
- "$abc$8880$n1141": {
- "hide_name": 1,
- "bits": [ 431 ],
- "attributes": {
- }
- },
- "$abc$8880$n1142": {
- "hide_name": 1,
- "bits": [ 435 ],
- "attributes": {
- }
- },
- "$abc$8880$n1144": {
- "hide_name": 1,
- "bits": [ 440 ],
- "attributes": {
- }
- },
- "$abc$8880$n1145": {
- "hide_name": 1,
- "bits": [ 437 ],
- "attributes": {
- }
- },
- "$abc$8880$n1147": {
- "hide_name": 1,
- "bits": [ 442 ],
- "attributes": {
- }
- },
- "$abc$8880$n1148": {
- "hide_name": 1,
- "bits": [ 438 ],
- "attributes": {
- }
- },
- "$abc$8880$n1149": {
- "hide_name": 1,
- "bits": [ 444 ],
- "attributes": {
- }
- },
- "$abc$8880$n1150": {
- "hide_name": 1,
- "bits": [ 434 ],
- "attributes": {
- }
- },
- "$abc$8880$n1152": {
- "hide_name": 1,
- "bits": [ 447 ],
- "attributes": {
- }
- },
- "$abc$8880$n1153": {
- "hide_name": 1,
- "bits": [ 448 ],
- "attributes": {
- }
- },
- "$abc$8880$n1161": {
- "hide_name": 1,
- "bits": [ 463 ],
- "attributes": {
- }
- },
- "$abc$8880$n1170": {
- "hide_name": 1,
- "bits": [ 478 ],
- "attributes": {
- }
- },
- "$abc$8880$n1171": {
- "hide_name": 1,
- "bits": [ 480 ],
- "attributes": {
- }
- },
- "$abc$8880$n1172": {
- "hide_name": 1,
- "bits": [ 481 ],
- "attributes": {
- }
- },
- "$abc$8880$n1173": {
- "hide_name": 1,
- "bits": [ 1401 ],
- "attributes": {
- }
- },
- "$abc$8880$n1174": {
- "hide_name": 1,
- "bits": [ 482 ],
- "attributes": {
- }
- },
- "$abc$8880$n1182": {
- "hide_name": 1,
- "bits": [ 494 ],
- "attributes": {
- }
- },
- "$abc$8880$n1183": {
- "hide_name": 1,
- "bits": [ 498 ],
- "attributes": {
- }
- },
- "$abc$8880$n1185": {
- "hide_name": 1,
- "bits": [ 499 ],
- "attributes": {
- }
- },
- "$abc$8880$n1186": {
- "hide_name": 1,
- "bits": [ 495 ],
- "attributes": {
- }
- },
- "$abc$8880$n1189": {
- "hide_name": 1,
- "bits": [ 505 ],
- "attributes": {
- }
- },
- "$abc$8880$n119": {
- "hide_name": 1,
- "bits": [ 1258 ],
- "attributes": {
- }
- },
- "$abc$8880$n1190": {
- "hide_name": 1,
- "bits": [ 1384 ],
- "attributes": {
- }
- },
- "$abc$8880$n1190_1": {
- "hide_name": 1,
- "bits": [ 509 ],
- "attributes": {
- }
- },
- "$abc$8880$n1192": {
- "hide_name": 1,
- "bits": [ 510 ],
- "attributes": {
- }
- },
- "$abc$8880$n1193": {
- "hide_name": 1,
- "bits": [ 441 ],
- "attributes": {
- }
- },
- "$abc$8880$n1193_1": {
- "hide_name": 1,
- "bits": [ 506 ],
- "attributes": {
- }
- },
- "$abc$8880$n1195": {
- "hide_name": 1,
- "bits": [ 800 ],
- "attributes": {
- }
- },
- "$abc$8880$n1196_1": {
- "hide_name": 1,
- "bits": [ 516 ],
- "attributes": {
- }
- },
- "$abc$8880$n1197": {
- "hide_name": 1,
- "bits": [ 801 ],
- "attributes": {
- }
- },
- "$abc$8880$n1197_1": {
- "hide_name": 1,
- "bits": [ 520 ],
- "attributes": {
- }
- },
- "$abc$8880$n1199": {
- "hide_name": 1,
- "bits": [ 802 ],
- "attributes": {
- }
- },
- "$abc$8880$n1199_1": {
- "hide_name": 1,
- "bits": [ 521 ],
- "attributes": {
- }
- },
- "$abc$8880$n12": {
- "hide_name": 1,
- "bits": [ 1255 ],
- "attributes": {
- }
- },
- "$abc$8880$n1200_1": {
- "hide_name": 1,
- "bits": [ 517 ],
- "attributes": {
- }
- },
- "$abc$8880$n1201": {
- "hide_name": 1,
- "bits": [ 446 ],
- "attributes": {
- }
- },
- "$abc$8880$n1203": {
- "hide_name": 1,
- "bits": [ 436 ],
- "attributes": {
- }
- },
- "$abc$8880$n1205": {
- "hide_name": 1,
- "bits": [ 433 ],
- "attributes": {
- }
- },
- "$abc$8880$n1207": {
- "hide_name": 1,
- "bits": [ 430 ],
- "attributes": {
- }
- },
- "$abc$8880$n1240": {
- "hide_name": 1,
- "bits": [ 806 ],
- "attributes": {
- }
- },
- "$abc$8880$n1241": {
- "hide_name": 1,
- "bits": [ 812 ],
- "attributes": {
- }
- },
- "$abc$8880$n1242": {
- "hide_name": 1,
- "bits": [ 818 ],
- "attributes": {
- }
- },
- "$abc$8880$n1243": {
- "hide_name": 1,
- "bits": [ 824 ],
- "attributes": {
- }
- },
- "$abc$8880$n1244": {
- "hide_name": 1,
- "bits": [ 830 ],
- "attributes": {
- }
- },
- "$abc$8880$n1245": {
- "hide_name": 1,
- "bits": [ 836 ],
- "attributes": {
- }
- },
- "$abc$8880$n1246": {
- "hide_name": 1,
- "bits": [ 842 ],
- "attributes": {
- }
- },
- "$abc$8880$n1247": {
- "hide_name": 1,
- "bits": [ 848 ],
- "attributes": {
- }
- },
- "$abc$8880$n1248": {
- "hide_name": 1,
- "bits": [ 854 ],
- "attributes": {
- }
- },
- "$abc$8880$n1249": {
- "hide_name": 1,
- "bits": [ 860 ],
- "attributes": {
- }
- },
- "$abc$8880$n1250": {
- "hide_name": 1,
- "bits": [ 866 ],
- "attributes": {
- }
- },
- "$abc$8880$n1251": {
- "hide_name": 1,
- "bits": [ 872 ],
- "attributes": {
- }
- },
- "$abc$8880$n1252": {
- "hide_name": 1,
- "bits": [ 878 ],
- "attributes": {
- }
- },
- "$abc$8880$n1253": {
- "hide_name": 1,
- "bits": [ 884 ],
- "attributes": {
- }
- },
- "$abc$8880$n1254": {
- "hide_name": 1,
- "bits": [ 890 ],
- "attributes": {
- }
- },
- "$abc$8880$n1255": {
- "hide_name": 1,
- "bits": [ 896 ],
- "attributes": {
- }
- },
- "$abc$8880$n1256": {
- "hide_name": 1,
- "bits": [ 1406 ],
- "attributes": {
- }
- },
- "$abc$8880$n1257": {
- "hide_name": 1,
- "bits": [ 1411 ],
- "attributes": {
- }
- },
- "$abc$8880$n1258": {
- "hide_name": 1,
- "bits": [ 1379 ],
- "attributes": {
- }
- },
- "$abc$8880$n1261": {
- "hide_name": 1,
- "bits": [ 191 ],
- "attributes": {
- }
- },
- "$abc$8880$n1263": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$8880$n1265": {
- "hide_name": 1,
- "bits": [ 278 ],
- "attributes": {
- }
- },
- "$abc$8880$n1267": {
- "hide_name": 1,
- "bits": [ 899 ],
- "attributes": {
- }
- },
- "$abc$8880$n1269": {
- "hide_name": 1,
- "bits": [ 363 ],
- "attributes": {
- }
- },
- "$abc$8880$n1270_1": {
- "hide_name": 1,
- "bits": [ 560 ],
- "attributes": {
- }
- },
- "$abc$8880$n1271": {
- "hide_name": 1,
- "bits": [ 361 ],
- "attributes": {
- }
- },
- "$abc$8880$n1272_1": {
- "hide_name": 1,
- "bits": [ 562 ],
- "attributes": {
- }
- },
- "$abc$8880$n1273": {
- "hide_name": 1,
- "bits": [ 180 ],
- "attributes": {
- }
- },
- "$abc$8880$n1275": {
- "hide_name": 1,
- "bits": [ 41 ],
- "attributes": {
- }
- },
- "$abc$8880$n1276": {
- "hide_name": 1,
- "bits": [ 1391 ],
- "attributes": {
- }
- },
- "$abc$8880$n1277": {
- "hide_name": 1,
- "bits": [ 1396 ],
- "attributes": {
- }
- },
- "$abc$8880$n1278": {
- "hide_name": 1,
- "bits": [ 1374 ],
- "attributes": {
- }
- },
- "$abc$8880$n1279": {
- "hide_name": 1,
- "bits": [ 1369 ],
- "attributes": {
- }
- },
- "$abc$8880$n1280": {
- "hide_name": 1,
- "bits": [ 1349 ],
- "attributes": {
- }
- },
- "$abc$8880$n1281": {
- "hide_name": 1,
- "bits": [ 1364 ],
- "attributes": {
- }
- },
- "$abc$8880$n1281_1": {
- "hide_name": 1,
- "bits": [ 573 ],
- "attributes": {
- }
- },
- "$abc$8880$n1282": {
- "hide_name": 1,
- "bits": [ 1359 ],
- "attributes": {
- }
- },
- "$abc$8880$n1283": {
- "hide_name": 1,
- "bits": [ 1416 ],
- "attributes": {
- }
- },
- "$abc$8880$n1283_1": {
- "hide_name": 1,
- "bits": [ 576 ],
- "attributes": {
- }
- },
- "$abc$8880$n1284": {
- "hide_name": 1,
- "bits": [ 580 ],
- "attributes": {
- }
- },
- "$abc$8880$n1285_1": {
- "hide_name": 1,
- "bits": [ 577 ],
- "attributes": {
- }
- },
- "$abc$8880$n1287": {
- "hide_name": 1,
- "bits": [ 586 ],
- "attributes": {
- }
- },
- "$abc$8880$n1288_1": {
- "hide_name": 1,
- "bits": [ 585 ],
- "attributes": {
- }
- },
- "$abc$8880$n1290_1": {
- "hide_name": 1,
- "bits": [ 590 ],
- "attributes": {
- }
- },
- "$abc$8880$n1302": {
- "hide_name": 1,
- "bits": [ 901 ],
- "attributes": {
- }
- },
- "$abc$8880$n1303": {
- "hide_name": 1,
- "bits": [ 903 ],
- "attributes": {
- }
- },
- "$abc$8880$n1304": {
- "hide_name": 1,
- "bits": [ 905 ],
- "attributes": {
- }
- },
- "$abc$8880$n1305": {
- "hide_name": 1,
- "bits": [ 907 ],
- "attributes": {
- }
- },
- "$abc$8880$n1306": {
- "hide_name": 1,
- "bits": [ 909 ],
- "attributes": {
- }
- },
- "$abc$8880$n1307": {
- "hide_name": 1,
- "bits": [ 911 ],
- "attributes": {
- }
- },
- "$abc$8880$n1308": {
- "hide_name": 1,
- "bits": [ 913 ],
- "attributes": {
- }
- },
- "$abc$8880$n1309": {
- "hide_name": 1,
- "bits": [ 918 ],
- "attributes": {
- }
- },
- "$abc$8880$n1309_1": {
- "hide_name": 1,
- "bits": [ 625 ],
- "attributes": {
- }
- },
- "$abc$8880$n1311": {
- "hide_name": 1,
- "bits": [ 1389 ],
- "attributes": {
- }
- },
- "$abc$8880$n1311_1": {
- "hide_name": 1,
- "bits": [ 627 ],
- "attributes": {
- }
- },
- "$abc$8880$n1312": {
- "hide_name": 1,
- "bits": [ 1354 ],
- "attributes": {
- }
- },
- "$abc$8880$n1312_1": {
- "hide_name": 1,
- "bits": [ 619 ],
- "attributes": {
- }
- },
- "$abc$8880$n1313": {
- "hide_name": 1,
- "bits": [ 926 ],
- "attributes": {
- }
- },
- "$abc$8880$n1313_1": {
- "hide_name": 1,
- "bits": [ 620 ],
- "attributes": {
- }
- },
- "$abc$8880$n1314_1": {
- "hide_name": 1,
- "bits": [ 622 ],
- "attributes": {
- }
- },
- "$abc$8880$n1315": {
- "hide_name": 1,
- "bits": [ 933 ],
- "attributes": {
- }
- },
- "$abc$8880$n1317": {
- "hide_name": 1,
- "bits": [ 937 ],
- "attributes": {
- }
- },
- "$abc$8880$n1319": {
- "hide_name": 1,
- "bits": [ 941 ],
- "attributes": {
- }
- },
- "$abc$8880$n1319_1": {
- "hide_name": 1,
- "bits": [ 633 ],
- "attributes": {
- }
- },
- "$abc$8880$n1320_1": {
- "hide_name": 1,
- "bits": [ 634 ],
- "attributes": {
- }
- },
- "$abc$8880$n1321": {
- "hide_name": 1,
- "bits": [ 945 ],
- "attributes": {
- }
- },
- "$abc$8880$n1323": {
- "hide_name": 1,
- "bits": [ 949 ],
- "attributes": {
- }
- },
- "$abc$8880$n1325": {
- "hide_name": 1,
- "bits": [ 641 ],
- "attributes": {
- }
- },
- "$abc$8880$n1326": {
- "hide_name": 1,
- "bits": [ 925 ],
- "attributes": {
- }
- },
- "$abc$8880$n1326_1": {
- "hide_name": 1,
- "bits": [ 642 ],
- "attributes": {
- }
- },
- "$abc$8880$n1331_1": {
- "hide_name": 1,
- "bits": [ 649 ],
- "attributes": {
- }
- },
- "$abc$8880$n1332": {
- "hide_name": 1,
- "bits": [ 650 ],
- "attributes": {
- }
- },
- "$abc$8880$n1337_1": {
- "hide_name": 1,
- "bits": [ 657 ],
- "attributes": {
- }
- },
- "$abc$8880$n1338": {
- "hide_name": 1,
- "bits": [ 658 ],
- "attributes": {
- }
- },
- "$abc$8880$n1339": {
- "hide_name": 1,
- "bits": [ 924 ],
- "attributes": {
- }
- },
- "$abc$8880$n134": {
- "hide_name": 1,
- "bits": [ 432 ],
- "attributes": {
- }
- },
- "$abc$8880$n1341": {
- "hide_name": 1,
- "bits": [ 936 ],
- "attributes": {
- }
- },
- "$abc$8880$n1342": {
- "hide_name": 1,
- "bits": [ 940 ],
- "attributes": {
- }
- },
- "$abc$8880$n1343": {
- "hide_name": 1,
- "bits": [ 944 ],
- "attributes": {
- }
- },
- "$abc$8880$n1343_1": {
- "hide_name": 1,
- "bits": [ 665 ],
- "attributes": {
- }
- },
- "$abc$8880$n1344": {
- "hide_name": 1,
- "bits": [ 948 ],
- "attributes": {
- }
- },
- "$abc$8880$n1344_1": {
- "hide_name": 1,
- "bits": [ 666 ],
- "attributes": {
- }
- },
- "$abc$8880$n1349_1": {
- "hide_name": 1,
- "bits": [ 673 ],
- "attributes": {
- }
- },
- "$abc$8880$n135": {
- "hide_name": 1,
- "bits": [ 1259 ],
- "attributes": {
- }
- },
- "$abc$8880$n1350_1": {
- "hide_name": 1,
- "bits": [ 674 ],
- "attributes": {
- }
- },
- "$abc$8880$n1355_1": {
- "hide_name": 1,
- "bits": [ 681 ],
- "attributes": {
- }
- },
- "$abc$8880$n1356_1": {
- "hide_name": 1,
- "bits": [ 682 ],
- "attributes": {
- }
- },
- "$abc$8880$n1361_1": {
- "hide_name": 1,
- "bits": [ 689 ],
- "attributes": {
- }
- },
- "$abc$8880$n1362_1": {
- "hide_name": 1,
- "bits": [ 690 ],
- "attributes": {
- }
- },
- "$abc$8880$n1367_1": {
- "hide_name": 1,
- "bits": [ 697 ],
- "attributes": {
- }
- },
- "$abc$8880$n1368_1": {
- "hide_name": 1,
- "bits": [ 698 ],
- "attributes": {
- }
- },
- "$abc$8880$n1373_1": {
- "hide_name": 1,
- "bits": [ 705 ],
- "attributes": {
- }
- },
- "$abc$8880$n1374_1": {
- "hide_name": 1,
- "bits": [ 706 ],
- "attributes": {
- }
- },
- "$abc$8880$n1379_1": {
- "hide_name": 1,
- "bits": [ 713 ],
- "attributes": {
- }
- },
- "$abc$8880$n1380_1": {
- "hide_name": 1,
- "bits": [ 714 ],
- "attributes": {
- }
- },
- "$abc$8880$n1385": {
- "hide_name": 1,
- "bits": [ 356 ],
- "attributes": {
- }
- },
- "$abc$8880$n1385_1": {
- "hide_name": 1,
- "bits": [ 721 ],
- "attributes": {
- }
- },
- "$abc$8880$n1386": {
- "hide_name": 1,
- "bits": [ 288 ],
- "attributes": {
- }
- },
- "$abc$8880$n1386_1": {
- "hide_name": 1,
- "bits": [ 722 ],
- "attributes": {
- }
- },
- "$abc$8880$n1387": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$8880$n1388": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$8880$n1389": {
- "hide_name": 1,
- "bits": [ 387 ],
- "attributes": {
- }
- },
- "$abc$8880$n1390": {
- "hide_name": 1,
- "bits": [ 371 ],
- "attributes": {
- }
- },
- "$abc$8880$n1391": {
- "hide_name": 1,
- "bits": [ 189 ],
- "attributes": {
- }
- },
- "$abc$8880$n1391_1": {
- "hide_name": 1,
- "bits": [ 729 ],
- "attributes": {
- }
- },
- "$abc$8880$n1392": {
- "hide_name": 1,
- "bits": [ 169 ],
- "attributes": {
- }
- },
- "$abc$8880$n1392_1": {
- "hide_name": 1,
- "bits": [ 730 ],
- "attributes": {
- }
- },
- "$abc$8880$n1393": {
- "hide_name": 1,
- "bits": [ 497 ],
- "attributes": {
- }
- },
- "$abc$8880$n1394": {
- "hide_name": 1,
- "bits": [ 512 ],
- "attributes": {
- }
- },
- "$abc$8880$n1395": {
- "hide_name": 1,
- "bits": [ 523 ],
- "attributes": {
- }
- },
- "$abc$8880$n1396": {
- "hide_name": 1,
- "bits": [ 994 ],
- "attributes": {
- }
- },
- "$abc$8880$n1397_1": {
- "hide_name": 1,
- "bits": [ 737 ],
- "attributes": {
- }
- },
- "$abc$8880$n1398": {
- "hide_name": 1,
- "bits": [ 1324 ],
- "attributes": {
- }
- },
- "$abc$8880$n1398_1": {
- "hide_name": 1,
- "bits": [ 738 ],
- "attributes": {
- }
- },
- "$abc$8880$n1399": {
- "hide_name": 1,
- "bits": [ 1319 ],
- "attributes": {
- }
- },
- "$abc$8880$n14": {
- "hide_name": 1,
- "bits": [ 1256 ],
- "attributes": {
- }
- },
- "$abc$8880$n1400": {
- "hide_name": 1,
- "bits": [ 1329 ],
- "attributes": {
- }
- },
- "$abc$8880$n1401": {
- "hide_name": 1,
- "bits": [ 1334 ],
- "attributes": {
- }
- },
- "$abc$8880$n1403": {
- "hide_name": 1,
- "bits": [ 745 ],
- "attributes": {
- }
- },
- "$abc$8880$n1404": {
- "hide_name": 1,
- "bits": [ 746 ],
- "attributes": {
- }
- },
- "$abc$8880$n1428": {
- "hide_name": 1,
- "bits": [ 803 ],
- "attributes": {
- }
- },
- "$abc$8880$n1429": {
- "hide_name": 1,
- "bits": [ 804 ],
- "attributes": {
- }
- },
- "$abc$8880$n1434_1": {
- "hide_name": 1,
- "bits": [ 809 ],
- "attributes": {
- }
- },
- "$abc$8880$n1435": {
- "hide_name": 1,
- "bits": [ 810 ],
- "attributes": {
- }
- },
- "$abc$8880$n1440": {
- "hide_name": 1,
- "bits": [ 1279 ],
- "attributes": {
- }
- },
- "$abc$8880$n1440_1": {
- "hide_name": 1,
- "bits": [ 815 ],
- "attributes": {
- }
- },
- "$abc$8880$n1441_1": {
- "hide_name": 1,
- "bits": [ 816 ],
- "attributes": {
- }
- },
- "$abc$8880$n1443": {
- "hide_name": 1,
- "bits": [ 1281 ],
- "attributes": {
- }
- },
- "$abc$8880$n1445": {
- "hide_name": 1,
- "bits": [ 1282 ],
- "attributes": {
- }
- },
- "$abc$8880$n1446": {
- "hide_name": 1,
- "bits": [ 1283 ],
- "attributes": {
- }
- },
- "$abc$8880$n1446_1": {
- "hide_name": 1,
- "bits": [ 821 ],
- "attributes": {
- }
- },
- "$abc$8880$n1447_1": {
- "hide_name": 1,
- "bits": [ 822 ],
- "attributes": {
- }
- },
- "$abc$8880$n1450": {
- "hide_name": 1,
- "bits": [ 1000 ],
- "attributes": {
- }
- },
- "$abc$8880$n1452": {
- "hide_name": 1,
- "bits": [ 48 ],
- "attributes": {
- }
- },
- "$abc$8880$n1452_1": {
- "hide_name": 1,
- "bits": [ 827 ],
- "attributes": {
- }
- },
- "$abc$8880$n1453": {
- "hide_name": 1,
- "bits": [ 828 ],
- "attributes": {
- }
- },
- "$abc$8880$n1458": {
- "hide_name": 1,
- "bits": [ 922 ],
- "attributes": {
- }
- },
- "$abc$8880$n1458_1": {
- "hide_name": 1,
- "bits": [ 833 ],
- "attributes": {
- }
- },
- "$abc$8880$n1459": {
- "hide_name": 1,
- "bits": [ 834 ],
- "attributes": {
- }
- },
- "$abc$8880$n1462": {
- "hide_name": 1,
- "bits": [ 1284 ],
- "attributes": {
- }
- },
- "$abc$8880$n1464_1": {
- "hide_name": 1,
- "bits": [ 839 ],
- "attributes": {
- }
- },
- "$abc$8880$n1465_1": {
- "hide_name": 1,
- "bits": [ 840 ],
- "attributes": {
- }
- },
- "$abc$8880$n1470": {
- "hide_name": 1,
- "bits": [ 845 ],
- "attributes": {
- }
- },
- "$abc$8880$n1471_1": {
- "hide_name": 1,
- "bits": [ 846 ],
- "attributes": {
- }
- },
- "$abc$8880$n1473": {
- "hide_name": 1,
- "bits": [ 316 ],
- "attributes": {
- }
- },
- "$abc$8880$n1474": {
- "hide_name": 1,
- "bits": [ 920 ],
- "attributes": {
- }
- },
- "$abc$8880$n1476": {
- "hide_name": 1,
- "bits": [ 1288 ],
- "attributes": {
- }
- },
- "$abc$8880$n1476_1": {
- "hide_name": 1,
- "bits": [ 851 ],
- "attributes": {
- }
- },
- "$abc$8880$n1477": {
- "hide_name": 1,
- "bits": [ 921 ],
- "attributes": {
- }
- },
- "$abc$8880$n1477_1": {
- "hide_name": 1,
- "bits": [ 852 ],
- "attributes": {
- }
- },
- "$abc$8880$n1478": {
- "hide_name": 1,
- "bits": [ 287 ],
- "attributes": {
- }
- },
- "$abc$8880$n1479": {
- "hide_name": 1,
- "bits": [ 94 ],
- "attributes": {
- }
- },
- "$abc$8880$n1480": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$8880$n1481": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$8880$n1482": {
- "hide_name": 1,
- "bits": [ 98 ],
- "attributes": {
- }
- },
- "$abc$8880$n1482_1": {
- "hide_name": 1,
- "bits": [ 857 ],
- "attributes": {
- }
- },
- "$abc$8880$n1483": {
- "hide_name": 1,
- "bits": [ 370 ],
- "attributes": {
- }
- },
- "$abc$8880$n1483_1": {
- "hide_name": 1,
- "bits": [ 858 ],
- "attributes": {
- }
- },
- "$abc$8880$n1484": {
- "hide_name": 1,
- "bits": [ 136 ],
- "attributes": {
- }
- },
- "$abc$8880$n1485": {
- "hide_name": 1,
- "bits": [ 159 ],
- "attributes": {
- }
- },
- "$abc$8880$n1486": {
- "hide_name": 1,
- "bits": [ 500 ],
- "attributes": {
- }
- },
- "$abc$8880$n1487": {
- "hide_name": 1,
- "bits": [ 511 ],
- "attributes": {
- }
- },
- "$abc$8880$n1488": {
- "hide_name": 1,
- "bits": [ 522 ],
- "attributes": {
- }
- },
- "$abc$8880$n1488_1": {
- "hide_name": 1,
- "bits": [ 863 ],
- "attributes": {
- }
- },
- "$abc$8880$n1489": {
- "hide_name": 1,
- "bits": [ 566 ],
- "attributes": {
- }
- },
- "$abc$8880$n1489_1": {
- "hide_name": 1,
- "bits": [ 864 ],
- "attributes": {
- }
- },
- "$abc$8880$n1490": {
- "hide_name": 1,
- "bits": [ 567 ],
- "attributes": {
- }
- },
- "$abc$8880$n1491": {
- "hide_name": 1,
- "bits": [ 568 ],
- "attributes": {
- }
- },
- "$abc$8880$n1492": {
- "hide_name": 1,
- "bits": [ 569 ],
- "attributes": {
- }
- },
- "$abc$8880$n1493": {
- "hide_name": 1,
- "bits": [ 570 ],
- "attributes": {
- }
- },
- "$abc$8880$n1494": {
- "hide_name": 1,
- "bits": [ 1289 ],
- "attributes": {
- }
- },
- "$abc$8880$n1494_1": {
- "hide_name": 1,
- "bits": [ 869 ],
- "attributes": {
- }
- },
- "$abc$8880$n1495": {
- "hide_name": 1,
- "bits": [ 1290 ],
- "attributes": {
- }
- },
- "$abc$8880$n1495_1": {
- "hide_name": 1,
- "bits": [ 870 ],
- "attributes": {
- }
- },
- "$abc$8880$n1496": {
- "hide_name": 1,
- "bits": [ 1291 ],
- "attributes": {
- }
- },
- "$abc$8880$n1497": {
- "hide_name": 1,
- "bits": [ 1292 ],
- "attributes": {
- }
- },
- "$abc$8880$n1498": {
- "hide_name": 1,
- "bits": [ 1293 ],
- "attributes": {
- }
- },
- "$abc$8880$n1499": {
- "hide_name": 1,
- "bits": [ 1294 ],
- "attributes": {
- }
- },
- "$abc$8880$n15": {
- "hide_name": 1,
- "bits": [ 1257 ],
- "attributes": {
- }
- },
- "$abc$8880$n1500": {
- "hide_name": 1,
- "bits": [ 1295 ],
- "attributes": {
- }
- },
- "$abc$8880$n1500_1": {
- "hide_name": 1,
- "bits": [ 875 ],
- "attributes": {
- }
- },
- "$abc$8880$n1501": {
- "hide_name": 1,
- "bits": [ 1296 ],
- "attributes": {
- }
- },
- "$abc$8880$n1501_1": {
- "hide_name": 1,
- "bits": [ 876 ],
- "attributes": {
- }
- },
- "$abc$8880$n1502": {
- "hide_name": 1,
- "bits": [ 1297 ],
- "attributes": {
- }
- },
- "$abc$8880$n1503": {
- "hide_name": 1,
- "bits": [ 1298 ],
- "attributes": {
- }
- },
- "$abc$8880$n1504": {
- "hide_name": 1,
- "bits": [ 1299 ],
- "attributes": {
- }
- },
- "$abc$8880$n1505": {
- "hide_name": 1,
- "bits": [ 1300 ],
- "attributes": {
- }
- },
- "$abc$8880$n1506": {
- "hide_name": 1,
- "bits": [ 1301 ],
- "attributes": {
- }
- },
- "$abc$8880$n1506_1": {
- "hide_name": 1,
- "bits": [ 881 ],
- "attributes": {
- }
- },
- "$abc$8880$n1507": {
- "hide_name": 1,
- "bits": [ 1302 ],
- "attributes": {
- }
- },
- "$abc$8880$n1507_1": {
- "hide_name": 1,
- "bits": [ 882 ],
- "attributes": {
- }
- },
- "$abc$8880$n1508": {
- "hide_name": 1,
- "bits": [ 1303 ],
- "attributes": {
- }
- },
- "$abc$8880$n1509": {
- "hide_name": 1,
- "bits": [ 1304 ],
- "attributes": {
- }
- },
- "$abc$8880$n1510": {
- "hide_name": 1,
- "bits": [ 1305 ],
- "attributes": {
- }
- },
- "$abc$8880$n1511": {
- "hide_name": 1,
- "bits": [ 1306 ],
- "attributes": {
- }
- },
- "$abc$8880$n1512": {
- "hide_name": 1,
- "bits": [ 1307 ],
- "attributes": {
- }
- },
- "$abc$8880$n1512_1": {
- "hide_name": 1,
- "bits": [ 887 ],
- "attributes": {
- }
- },
- "$abc$8880$n1513": {
- "hide_name": 1,
- "bits": [ 1308 ],
- "attributes": {
- }
- },
- "$abc$8880$n1513_1": {
- "hide_name": 1,
- "bits": [ 888 ],
- "attributes": {
- }
- },
- "$abc$8880$n1514": {
- "hide_name": 1,
- "bits": [ 1309 ],
- "attributes": {
- }
- },
- "$abc$8880$n1515": {
- "hide_name": 1,
- "bits": [ 1310 ],
- "attributes": {
- }
- },
- "$abc$8880$n1516": {
- "hide_name": 1,
- "bits": [ 1311 ],
- "attributes": {
- }
- },
- "$abc$8880$n1517": {
- "hide_name": 1,
- "bits": [ 1312 ],
- "attributes": {
- }
- },
- "$abc$8880$n1518": {
- "hide_name": 1,
- "bits": [ 1313 ],
- "attributes": {
- }
- },
- "$abc$8880$n1518_1": {
- "hide_name": 1,
- "bits": [ 893 ],
- "attributes": {
- }
- },
- "$abc$8880$n1519": {
- "hide_name": 1,
- "bits": [ 1314 ],
- "attributes": {
- }
- },
- "$abc$8880$n1519_1": {
- "hide_name": 1,
- "bits": [ 894 ],
- "attributes": {
- }
- },
- "$abc$8880$n1520": {
- "hide_name": 1,
- "bits": [ 1315 ],
- "attributes": {
- }
- },
- "$abc$8880$n1521": {
- "hide_name": 1,
- "bits": [ 1316 ],
- "attributes": {
- }
- },
- "$abc$8880$n1522": {
- "hide_name": 1,
- "bits": [ 1317 ],
- "attributes": {
- }
- },
- "$abc$8880$n1525": {
- "hide_name": 1,
- "bits": [ 1005 ],
- "attributes": {
- }
- },
- "$abc$8880$n1530_1": {
- "hide_name": 1,
- "bits": [ 916 ],
- "attributes": {
- }
- },
- "$abc$8880$n1531_1": {
- "hide_name": 1,
- "bits": [ 915 ],
- "attributes": {
- }
- },
- "$abc$8880$n1532": {
- "hide_name": 1,
- "bits": [ 919 ],
- "attributes": {
- }
- },
- "$abc$8880$n1533": {
- "hide_name": 1,
- "bits": [ 1007 ],
- "attributes": {
- }
- },
- "$abc$8880$n1533_1": {
- "hide_name": 1,
- "bits": [ 917 ],
- "attributes": {
- }
- },
- "$abc$8880$n1535": {
- "hide_name": 1,
- "bits": [ 1009 ],
- "attributes": {
- }
- },
- "$abc$8880$n1536_1": {
- "hide_name": 1,
- "bits": [ 927 ],
- "attributes": {
- }
- },
- "$abc$8880$n1564": {
- "hide_name": 1,
- "bits": [ 989 ],
- "attributes": {
- }
- },
- "$abc$8880$n1566": {
- "hide_name": 1,
- "bits": [ 991 ],
- "attributes": {
- }
- },
- "$abc$8880$n1567": {
- "hide_name": 1,
- "bits": [ 995 ],
- "attributes": {
- }
- },
- "$abc$8880$n1568": {
- "hide_name": 1,
- "bits": [ 996 ],
- "attributes": {
- }
- },
- "$abc$8880$n1569": {
- "hide_name": 1,
- "bits": [ 992 ],
- "attributes": {
- }
- },
- "$abc$8880$n1573": {
- "hide_name": 1,
- "bits": [ 1001 ],
- "attributes": {
- }
- },
- "$abc$8880$n1576": {
- "hide_name": 1,
- "bits": [ 1004 ],
- "attributes": {
- }
- },
- "$abc$8880$n1582": {
- "hide_name": 1,
- "bits": [ 1014 ],
- "attributes": {
- }
- },
- "$abc$8880$n1584": {
- "hide_name": 1,
- "bits": [ 1015 ],
- "attributes": {
- }
- },
- "$abc$8880$n1588": {
- "hide_name": 1,
- "bits": [ 1016 ],
- "attributes": {
- }
- },
- "$abc$8880$n1589": {
- "hide_name": 1,
- "bits": [ 1022 ],
- "attributes": {
- }
- },
- "$abc$8880$n1590": {
- "hide_name": 1,
- "bits": [ 1026 ],
- "attributes": {
- }
- },
- "$abc$8880$n1591": {
- "hide_name": 1,
- "bits": [ 1017 ],
- "attributes": {
- }
- },
- "$abc$8880$n1591_1": {
- "hide_name": 1,
- "bits": [ 1029 ],
- "attributes": {
- }
- },
- "$abc$8880$n1592": {
- "hide_name": 1,
- "bits": [ 1018 ],
- "attributes": {
- }
- },
- "$abc$8880$n1592_1": {
- "hide_name": 1,
- "bits": [ 1032 ],
- "attributes": {
- }
- },
- "$abc$8880$n1593": {
- "hide_name": 1,
- "bits": [ 1035 ],
- "attributes": {
- }
- },
- "$abc$8880$n1594": {
- "hide_name": 1,
- "bits": [ 1039 ],
- "attributes": {
- }
- },
- "$abc$8880$n1595": {
- "hide_name": 1,
- "bits": [ 1040 ],
- "attributes": {
- }
- },
- "$abc$8880$n1596": {
- "hide_name": 1,
- "bits": [ 1019 ],
- "attributes": {
- }
- },
- "$abc$8880$n1596_1": {
- "hide_name": 1,
- "bits": [ 1038 ],
- "attributes": {
- }
- },
- "$abc$8880$n1597": {
- "hide_name": 1,
- "bits": [ 1020 ],
- "attributes": {
- }
- },
- "$abc$8880$n1597_1": {
- "hide_name": 1,
- "bits": [ 1036 ],
- "attributes": {
- }
- },
- "$abc$8880$n1598": {
- "hide_name": 1,
- "bits": [ 1041 ],
- "attributes": {
- }
- },
- "$abc$8880$n1599": {
- "hide_name": 1,
- "bits": [ 1021 ],
- "attributes": {
- }
- },
- "$abc$8880$n1599_1": {
- "hide_name": 1,
- "bits": [ 1037 ],
- "attributes": {
- }
- },
- "$abc$8880$n1600": {
- "hide_name": 1,
- "bits": [ 1042 ],
- "attributes": {
- }
- },
- "$abc$8880$n1601": {
- "hide_name": 1,
- "bits": [ 1033 ],
- "attributes": {
- }
- },
- "$abc$8880$n1602": {
- "hide_name": 1,
- "bits": [ 1043 ],
- "attributes": {
- }
- },
- "$abc$8880$n1603": {
- "hide_name": 1,
- "bits": [ 1046 ],
- "attributes": {
- }
- },
- "$abc$8880$n1604": {
- "hide_name": 1,
- "bits": [ 1044 ],
- "attributes": {
- }
- },
- "$abc$8880$n1605": {
- "hide_name": 1,
- "bits": [ 1048 ],
- "attributes": {
- }
- },
- "$abc$8880$n1606": {
- "hide_name": 1,
- "bits": [ 1047 ],
- "attributes": {
- }
- },
- "$abc$8880$n1607": {
- "hide_name": 1,
- "bits": [ 1045 ],
- "attributes": {
- }
- },
- "$abc$8880$n1608": {
- "hide_name": 1,
- "bits": [ 1049 ],
- "attributes": {
- }
- },
- "$abc$8880$n1609": {
- "hide_name": 1,
- "bits": [ 1034 ],
- "attributes": {
- }
- },
- "$abc$8880$n1610": {
- "hide_name": 1,
- "bits": [ 1050 ],
- "attributes": {
- }
- },
- "$abc$8880$n1611": {
- "hide_name": 1,
- "bits": [ 1052 ],
- "attributes": {
- }
- },
- "$abc$8880$n1612": {
- "hide_name": 1,
- "bits": [ 1053 ],
- "attributes": {
- }
- },
- "$abc$8880$n1613": {
- "hide_name": 1,
- "bits": [ 1051 ],
- "attributes": {
- }
- },
- "$abc$8880$n1614": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$8880$n1614_1": {
- "hide_name": 1,
- "bits": [ 1030 ],
- "attributes": {
- }
- },
- "$abc$8880$n1615": {
- "hide_name": 1,
- "bits": [ 1054 ],
- "attributes": {
- }
- },
- "$abc$8880$n1616": {
- "hide_name": 1,
- "bits": [ 1057 ],
- "attributes": {
- }
- },
- "$abc$8880$n1617": {
- "hide_name": 1,
- "bits": [ 1061 ],
- "attributes": {
- }
- },
- "$abc$8880$n1618": {
- "hide_name": 1,
- "bits": [ 1062 ],
- "attributes": {
- }
- },
- "$abc$8880$n1619": {
- "hide_name": 1,
- "bits": [ 1060 ],
- "attributes": {
- }
- },
- "$abc$8880$n1620": {
- "hide_name": 1,
- "bits": [ 1058 ],
- "attributes": {
- }
- },
- "$abc$8880$n1621": {
- "hide_name": 1,
- "bits": [ 1063 ],
- "attributes": {
- }
- },
- "$abc$8880$n1622": {
- "hide_name": 1,
- "bits": [ 1059 ],
- "attributes": {
- }
- },
- "$abc$8880$n1623": {
- "hide_name": 1,
- "bits": [ 1055 ],
- "attributes": {
- }
- },
- "$abc$8880$n1624": {
- "hide_name": 1,
- "bits": [ 1064 ],
- "attributes": {
- }
- },
- "$abc$8880$n1625": {
- "hide_name": 1,
- "bits": [ 1068 ],
- "attributes": {
- }
- },
- "$abc$8880$n1626": {
- "hide_name": 1,
- "bits": [ 1067 ],
- "attributes": {
- }
- },
- "$abc$8880$n1627": {
- "hide_name": 1,
- "bits": [ 1066 ],
- "attributes": {
- }
- },
- "$abc$8880$n1628": {
- "hide_name": 1,
- "bits": [ 1065 ],
- "attributes": {
- }
- },
- "$abc$8880$n1629": {
- "hide_name": 1,
- "bits": [ 1070 ],
- "attributes": {
- }
- },
- "$abc$8880$n1630": {
- "hide_name": 1,
- "bits": [ 1069 ],
- "attributes": {
- }
- },
- "$abc$8880$n1631": {
- "hide_name": 1,
- "bits": [ 1056 ],
- "attributes": {
- }
- },
- "$abc$8880$n1632": {
- "hide_name": 1,
- "bits": [ 1071 ],
- "attributes": {
- }
- },
- "$abc$8880$n1633": {
- "hide_name": 1,
- "bits": [ 1073 ],
- "attributes": {
- }
- },
- "$abc$8880$n1634": {
- "hide_name": 1,
- "bits": [ 1074 ],
- "attributes": {
- }
- },
- "$abc$8880$n1635": {
- "hide_name": 1,
- "bits": [ 1072 ],
- "attributes": {
- }
- },
- "$abc$8880$n1636": {
- "hide_name": 1,
- "bits": [ 1031 ],
- "attributes": {
- }
- },
- "$abc$8880$n1637": {
- "hide_name": 1,
- "bits": [ 1075 ],
- "attributes": {
- }
- },
- "$abc$8880$n1638": {
- "hide_name": 1,
- "bits": [ 1078 ],
- "attributes": {
- }
- },
- "$abc$8880$n1639": {
- "hide_name": 1,
- "bits": [ 1082 ],
- "attributes": {
- }
- },
- "$abc$8880$n1640": {
- "hide_name": 1,
- "bits": [ 1081 ],
- "attributes": {
- }
- },
- "$abc$8880$n1641": {
- "hide_name": 1,
- "bits": [ 1080 ],
- "attributes": {
- }
- },
- "$abc$8880$n1642": {
- "hide_name": 1,
- "bits": [ 1079 ],
- "attributes": {
- }
- },
- "$abc$8880$n1643": {
- "hide_name": 1,
- "bits": [ 1076 ],
- "attributes": {
- }
- },
- "$abc$8880$n1644": {
- "hide_name": 1,
- "bits": [ 1077 ],
- "attributes": {
- }
- },
- "$abc$8880$n1645": {
- "hide_name": 1,
- "bits": [ 1027 ],
- "attributes": {
- }
- },
- "$abc$8880$n1646": {
- "hide_name": 1,
- "bits": [ 1083 ],
- "attributes": {
- }
- },
- "$abc$8880$n1647": {
- "hide_name": 1,
- "bits": [ 1086 ],
- "attributes": {
- }
- },
- "$abc$8880$n1648": {
- "hide_name": 1,
- "bits": [ 1087 ],
- "attributes": {
- }
- },
- "$abc$8880$n1649": {
- "hide_name": 1,
- "bits": [ 1088 ],
- "attributes": {
- }
- },
- "$abc$8880$n1650": {
- "hide_name": 1,
- "bits": [ 1089 ],
- "attributes": {
- }
- },
- "$abc$8880$n1651": {
- "hide_name": 1,
- "bits": [ 1093 ],
- "attributes": {
- }
- },
- "$abc$8880$n1652": {
- "hide_name": 1,
- "bits": [ 1090 ],
- "attributes": {
- }
- },
- "$abc$8880$n1653": {
- "hide_name": 1,
- "bits": [ 1091 ],
- "attributes": {
- }
- },
- "$abc$8880$n1654": {
- "hide_name": 1,
- "bits": [ 1094 ],
- "attributes": {
- }
- },
- "$abc$8880$n1655": {
- "hide_name": 1,
- "bits": [ 1092 ],
- "attributes": {
- }
- },
- "$abc$8880$n1656": {
- "hide_name": 1,
- "bits": [ 1084 ],
- "attributes": {
- }
- },
- "$abc$8880$n1657": {
- "hide_name": 1,
- "bits": [ 1085 ],
- "attributes": {
- }
- },
- "$abc$8880$n1658": {
- "hide_name": 1,
- "bits": [ 1095 ],
- "attributes": {
- }
- },
- "$abc$8880$n1659": {
- "hide_name": 1,
- "bits": [ 1098 ],
- "attributes": {
- }
- },
- "$abc$8880$n1660": {
- "hide_name": 1,
- "bits": [ 1101 ],
- "attributes": {
- }
- },
- "$abc$8880$n1661": {
- "hide_name": 1,
- "bits": [ 1099 ],
- "attributes": {
- }
- },
- "$abc$8880$n1662": {
- "hide_name": 1,
- "bits": [ 1102 ],
- "attributes": {
- }
- },
- "$abc$8880$n1663": {
- "hide_name": 1,
- "bits": [ 1103 ],
- "attributes": {
- }
- },
- "$abc$8880$n1664": {
- "hide_name": 1,
- "bits": [ 1100 ],
- "attributes": {
- }
- },
- "$abc$8880$n1665": {
- "hide_name": 1,
- "bits": [ 1104 ],
- "attributes": {
- }
- },
- "$abc$8880$n1666": {
- "hide_name": 1,
- "bits": [ 1096 ],
- "attributes": {
- }
- },
- "$abc$8880$n1667": {
- "hide_name": 1,
- "bits": [ 1097 ],
- "attributes": {
- }
- },
- "$abc$8880$n1668": {
- "hide_name": 1,
- "bits": [ 1105 ],
- "attributes": {
- }
- },
- "$abc$8880$n1669": {
- "hide_name": 1,
- "bits": [ 1108 ],
- "attributes": {
- }
- },
- "$abc$8880$n1670": {
- "hide_name": 1,
- "bits": [ 1109 ],
- "attributes": {
- }
- },
- "$abc$8880$n1671": {
- "hide_name": 1,
- "bits": [ 1106 ],
- "attributes": {
- }
- },
- "$abc$8880$n1672": {
- "hide_name": 1,
- "bits": [ 1110 ],
- "attributes": {
- }
- },
- "$abc$8880$n1673": {
- "hide_name": 1,
- "bits": [ 1107 ],
- "attributes": {
- }
- },
- "$abc$8880$n1674": {
- "hide_name": 1,
- "bits": [ 1111 ],
- "attributes": {
- }
- },
- "$abc$8880$n1675": {
- "hide_name": 1,
- "bits": [ 1028 ],
- "attributes": {
- }
- },
- "$abc$8880$n1676": {
- "hide_name": 1,
- "bits": [ 1112 ],
- "attributes": {
- }
- },
- "$abc$8880$n1677": {
- "hide_name": 1,
- "bits": [ 1023 ],
- "attributes": {
- }
- },
- "$abc$8880$n1678": {
- "hide_name": 1,
- "bits": [ 1113 ],
- "attributes": {
- }
- },
- "$abc$8880$n1679": {
- "hide_name": 1,
- "bits": [ 1114 ],
- "attributes": {
- }
- },
- "$abc$8880$n1680": {
- "hide_name": 1,
- "bits": [ 1117 ],
- "attributes": {
- }
- },
- "$abc$8880$n1681": {
- "hide_name": 1,
- "bits": [ 1120 ],
- "attributes": {
- }
- },
- "$abc$8880$n1682": {
- "hide_name": 1,
- "bits": [ 1121 ],
- "attributes": {
- }
- },
- "$abc$8880$n1683": {
- "hide_name": 1,
- "bits": [ 1123 ],
- "attributes": {
- }
- },
- "$abc$8880$n1684": {
- "hide_name": 1,
- "bits": [ 1124 ],
- "attributes": {
- }
- },
- "$abc$8880$n1685": {
- "hide_name": 1,
- "bits": [ 1122 ],
- "attributes": {
- }
- },
- "$abc$8880$n1686": {
- "hide_name": 1,
- "bits": [ 1118 ],
- "attributes": {
- }
- },
- "$abc$8880$n1687": {
- "hide_name": 1,
- "bits": [ 1125 ],
- "attributes": {
- }
- },
- "$abc$8880$n1688": {
- "hide_name": 1,
- "bits": [ 1119 ],
- "attributes": {
- }
- },
- "$abc$8880$n1689": {
- "hide_name": 1,
- "bits": [ 1126 ],
- "attributes": {
- }
- },
- "$abc$8880$n1690": {
- "hide_name": 1,
- "bits": [ 1127 ],
- "attributes": {
- }
- },
- "$abc$8880$n1691": {
- "hide_name": 1,
- "bits": [ 1128 ],
- "attributes": {
- }
- },
- "$abc$8880$n1692": {
- "hide_name": 1,
- "bits": [ 1130 ],
- "attributes": {
- }
- },
- "$abc$8880$n1693": {
- "hide_name": 1,
- "bits": [ 1129 ],
- "attributes": {
- }
- },
- "$abc$8880$n1694": {
- "hide_name": 1,
- "bits": [ 1131 ],
- "attributes": {
- }
- },
- "$abc$8880$n1695": {
- "hide_name": 1,
- "bits": [ 1132 ],
- "attributes": {
- }
- },
- "$abc$8880$n1696": {
- "hide_name": 1,
- "bits": [ 1133 ],
- "attributes": {
- }
- },
- "$abc$8880$n1697": {
- "hide_name": 1,
- "bits": [ 1115 ],
- "attributes": {
- }
- },
- "$abc$8880$n1698": {
- "hide_name": 1,
- "bits": [ 1134 ],
- "attributes": {
- }
- },
- "$abc$8880$n1699": {
- "hide_name": 1,
- "bits": [ 1135 ],
- "attributes": {
- }
- },
- "$abc$8880$n1700": {
- "hide_name": 1,
- "bits": [ 1136 ],
- "attributes": {
- }
- },
- "$abc$8880$n1701": {
- "hide_name": 1,
- "bits": [ 1116 ],
- "attributes": {
- }
- },
- "$abc$8880$n1702": {
- "hide_name": 1,
- "bits": [ 1137 ],
- "attributes": {
- }
- },
- "$abc$8880$n1703": {
- "hide_name": 1,
- "bits": [ 1024 ],
- "attributes": {
- }
- },
- "$abc$8880$n1706": {
- "hide_name": 1,
- "bits": [ 1139 ],
- "attributes": {
- }
- },
- "$abc$8880$n1707": {
- "hide_name": 1,
- "bits": [ 1141 ],
- "attributes": {
- }
- },
- "$abc$8880$n1708": {
- "hide_name": 1,
- "bits": [ 1144 ],
- "attributes": {
- }
- },
- "$abc$8880$n1709": {
- "hide_name": 1,
- "bits": [ 1147 ],
- "attributes": {
- }
- },
- "$abc$8880$n1710": {
- "hide_name": 1,
- "bits": [ 1150 ],
- "attributes": {
- }
- },
- "$abc$8880$n1711": {
- "hide_name": 1,
- "bits": [ 1148 ],
- "attributes": {
- }
- },
- "$abc$8880$n1712": {
- "hide_name": 1,
- "bits": [ 1151 ],
- "attributes": {
- }
- },
- "$abc$8880$n1713": {
- "hide_name": 1,
- "bits": [ 1149 ],
- "attributes": {
- }
- },
- "$abc$8880$n1714": {
- "hide_name": 1,
- "bits": [ 1145 ],
- "attributes": {
- }
- },
- "$abc$8880$n1715": {
- "hide_name": 1,
- "bits": [ 1152 ],
- "attributes": {
- }
- },
- "$abc$8880$n1716": {
- "hide_name": 1,
- "bits": [ 1153 ],
- "attributes": {
- }
- },
- "$abc$8880$n1717": {
- "hide_name": 1,
- "bits": [ 1155 ],
- "attributes": {
- }
- },
- "$abc$8880$n1718": {
- "hide_name": 1,
- "bits": [ 1156 ],
- "attributes": {
- }
- },
- "$abc$8880$n1719": {
- "hide_name": 1,
- "bits": [ 1154 ],
- "attributes": {
- }
- },
- "$abc$8880$n1720": {
- "hide_name": 1,
- "bits": [ 1146 ],
- "attributes": {
- }
- },
- "$abc$8880$n1721": {
- "hide_name": 1,
- "bits": [ 1142 ],
- "attributes": {
- }
- },
- "$abc$8880$n1722": {
- "hide_name": 1,
- "bits": [ 1143 ],
- "attributes": {
- }
- },
- "$abc$8880$n1723": {
- "hide_name": 1,
- "bits": [ 1157 ],
- "attributes": {
- }
- },
- "$abc$8880$n1725": {
- "hide_name": 1,
- "bits": [ 1158 ],
- "attributes": {
- }
- },
- "$abc$8880$n1726": {
- "hide_name": 1,
- "bits": [ 1159 ],
- "attributes": {
- }
- },
- "$abc$8880$n1727": {
- "hide_name": 1,
- "bits": [ 1161 ],
- "attributes": {
- }
- },
- "$abc$8880$n1728": {
- "hide_name": 1,
- "bits": [ 1162 ],
- "attributes": {
- }
- },
- "$abc$8880$n1729": {
- "hide_name": 1,
- "bits": [ 1164 ],
- "attributes": {
- }
- },
- "$abc$8880$n1730": {
- "hide_name": 1,
- "bits": [ 1165 ],
- "attributes": {
- }
- },
- "$abc$8880$n1731": {
- "hide_name": 1,
- "bits": [ 1167 ],
- "attributes": {
- }
- },
- "$abc$8880$n1732": {
- "hide_name": 1,
- "bits": [ 1170 ],
- "attributes": {
- }
- },
- "$abc$8880$n1733": {
- "hide_name": 1,
- "bits": [ 1168 ],
- "attributes": {
- }
- },
- "$abc$8880$n1734": {
- "hide_name": 1,
- "bits": [ 1171 ],
- "attributes": {
- }
- },
- "$abc$8880$n1735": {
- "hide_name": 1,
- "bits": [ 1169 ],
- "attributes": {
- }
- },
- "$abc$8880$n1736": {
- "hide_name": 1,
- "bits": [ 1172 ],
- "attributes": {
- }
- },
- "$abc$8880$n1737": {
- "hide_name": 1,
- "bits": [ 1166 ],
- "attributes": {
- }
- },
- "$abc$8880$n1738": {
- "hide_name": 1,
- "bits": [ 1173 ],
- "attributes": {
- }
- },
- "$abc$8880$n1739": {
- "hide_name": 1,
- "bits": [ 1174 ],
- "attributes": {
- }
- },
- "$abc$8880$n1740": {
- "hide_name": 1,
- "bits": [ 1175 ],
- "attributes": {
- }
- },
- "$abc$8880$n1741": {
- "hide_name": 1,
- "bits": [ 1176 ],
- "attributes": {
- }
- },
- "$abc$8880$n1742": {
- "hide_name": 1,
- "bits": [ 1163 ],
- "attributes": {
- }
- },
- "$abc$8880$n1743": {
- "hide_name": 1,
- "bits": [ 1177 ],
- "attributes": {
- }
- },
- "$abc$8880$n1745": {
- "hide_name": 1,
- "bits": [ 1178 ],
- "attributes": {
- }
- },
- "$abc$8880$n1746": {
- "hide_name": 1,
- "bits": [ 1181 ],
- "attributes": {
- }
- },
- "$abc$8880$n1747": {
- "hide_name": 1,
- "bits": [ 1184 ],
- "attributes": {
- }
- },
- "$abc$8880$n1748": {
- "hide_name": 1,
- "bits": [ 1187 ],
- "attributes": {
- }
- },
- "$abc$8880$n1749": {
- "hide_name": 1,
- "bits": [ 1190 ],
- "attributes": {
- }
- },
- "$abc$8880$n1750": {
- "hide_name": 1,
- "bits": [ 358 ],
- "attributes": {
- }
- },
- "$abc$8880$n1750_1": {
- "hide_name": 1,
- "bits": [ 1194 ],
- "attributes": {
- }
- },
- "$abc$8880$n1751": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$8880$n1751_1": {
- "hide_name": 1,
- "bits": [ 1193 ],
- "attributes": {
- }
- },
- "$abc$8880$n1752": {
- "hide_name": 1,
- "bits": [ 310 ],
- "attributes": {
- }
- },
- "$abc$8880$n1752_1": {
- "hide_name": 1,
- "bits": [ 1191 ],
- "attributes": {
- }
- },
- "$abc$8880$n1753": {
- "hide_name": 1,
- "bits": [ 264 ],
- "attributes": {
- }
- },
- "$abc$8880$n1753_1": {
- "hide_name": 1,
- "bits": [ 1195 ],
- "attributes": {
- }
- },
- "$abc$8880$n1754": {
- "hide_name": 1,
- "bits": [ 388 ],
- "attributes": {
- }
- },
- "$abc$8880$n1754_1": {
- "hide_name": 1,
- "bits": [ 1192 ],
- "attributes": {
- }
- },
- "$abc$8880$n1755": {
- "hide_name": 1,
- "bits": [ 372 ],
- "attributes": {
- }
- },
- "$abc$8880$n1755_1": {
- "hide_name": 1,
- "bits": [ 1196 ],
- "attributes": {
- }
- },
- "$abc$8880$n1756": {
- "hide_name": 1,
- "bits": [ 190 ],
- "attributes": {
- }
- },
- "$abc$8880$n1756_1": {
- "hide_name": 1,
- "bits": [ 1188 ],
- "attributes": {
- }
- },
- "$abc$8880$n1757": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$8880$n1757_1": {
- "hide_name": 1,
- "bits": [ 1189 ],
- "attributes": {
- }
- },
- "$abc$8880$n1758": {
- "hide_name": 1,
- "bits": [ 501 ],
- "attributes": {
- }
- },
- "$abc$8880$n1758_1": {
- "hide_name": 1,
- "bits": [ 1197 ],
- "attributes": {
- }
- },
- "$abc$8880$n1759": {
- "hide_name": 1,
- "bits": [ 513 ],
- "attributes": {
- }
- },
- "$abc$8880$n1759_1": {
- "hide_name": 1,
- "bits": [ 1200 ],
- "attributes": {
- }
- },
- "$abc$8880$n1760": {
- "hide_name": 1,
- "bits": [ 524 ],
- "attributes": {
- }
- },
- "$abc$8880$n1760_1": {
- "hide_name": 1,
- "bits": [ 1198 ],
- "attributes": {
- }
- },
- "$abc$8880$n1761": {
- "hide_name": 1,
- "bits": [ 997 ],
- "attributes": {
- }
- },
- "$abc$8880$n1761_1": {
- "hide_name": 1,
- "bits": [ 1199 ],
- "attributes": {
- }
- },
- "$abc$8880$n1762": {
- "hide_name": 1,
- "bits": [ 1325 ],
- "attributes": {
- }
- },
- "$abc$8880$n1762_1": {
- "hide_name": 1,
- "bits": [ 1185 ],
- "attributes": {
- }
- },
- "$abc$8880$n1763": {
- "hide_name": 1,
- "bits": [ 1320 ],
- "attributes": {
- }
- },
- "$abc$8880$n1763_1": {
- "hide_name": 1,
- "bits": [ 1186 ],
- "attributes": {
- }
- },
- "$abc$8880$n1764": {
- "hide_name": 1,
- "bits": [ 1330 ],
- "attributes": {
- }
- },
- "$abc$8880$n1764_1": {
- "hide_name": 1,
- "bits": [ 1201 ],
- "attributes": {
- }
- },
- "$abc$8880$n1765": {
- "hide_name": 1,
- "bits": [ 1335 ],
- "attributes": {
- }
- },
- "$abc$8880$n1765_1": {
- "hide_name": 1,
- "bits": [ 1202 ],
- "attributes": {
- }
- },
- "$abc$8880$n1766": {
- "hide_name": 1,
- "bits": [ 359 ],
- "attributes": {
- }
- },
- "$abc$8880$n1766_1": {
- "hide_name": 1,
- "bits": [ 1182 ],
- "attributes": {
- }
- },
- "$abc$8880$n1767": {
- "hide_name": 1,
- "bits": [ 1183 ],
- "attributes": {
- }
- },
- "$abc$8880$n1768": {
- "hide_name": 1,
- "bits": [ 1179 ],
- "attributes": {
- }
- },
- "$abc$8880$n1770": {
- "hide_name": 1,
- "bits": [ 1203 ],
- "attributes": {
- }
- },
- "$abc$8880$n1771": {
- "hide_name": 1,
- "bits": [ 1204 ],
- "attributes": {
- }
- },
- "$abc$8880$n1772": {
- "hide_name": 1,
- "bits": [ 1206 ],
- "attributes": {
- }
- },
- "$abc$8880$n1773": {
- "hide_name": 1,
- "bits": [ 502 ],
- "attributes": {
- }
- },
- "$abc$8880$n1773_1": {
- "hide_name": 1,
- "bits": [ 1207 ],
- "attributes": {
- }
- },
- "$abc$8880$n1774": {
- "hide_name": 1,
- "bits": [ 508 ],
- "attributes": {
- }
- },
- "$abc$8880$n1774_1": {
- "hide_name": 1,
- "bits": [ 1209 ],
- "attributes": {
- }
- },
- "$abc$8880$n1775": {
- "hide_name": 1,
- "bits": [ 519 ],
- "attributes": {
- }
- },
- "$abc$8880$n1775_1": {
- "hide_name": 1,
- "bits": [ 1210 ],
- "attributes": {
- }
- },
- "$abc$8880$n1776": {
- "hide_name": 1,
- "bits": [ 998 ],
- "attributes": {
- }
- },
- "$abc$8880$n1776_1": {
- "hide_name": 1,
- "bits": [ 1212 ],
- "attributes": {
- }
- },
- "$abc$8880$n1777": {
- "hide_name": 1,
- "bits": [ 1327 ],
- "attributes": {
- }
- },
- "$abc$8880$n1777_1": {
- "hide_name": 1,
- "bits": [ 1213 ],
- "attributes": {
- }
- },
- "$abc$8880$n1778": {
- "hide_name": 1,
- "bits": [ 1322 ],
- "attributes": {
- }
- },
- "$abc$8880$n1778_1": {
- "hide_name": 1,
- "bits": [ 1214 ],
- "attributes": {
- }
- },
- "$abc$8880$n1779": {
- "hide_name": 1,
- "bits": [ 1332 ],
- "attributes": {
- }
- },
- "$abc$8880$n1779_1": {
- "hide_name": 1,
- "bits": [ 1215 ],
- "attributes": {
- }
- },
- "$abc$8880$n1780": {
- "hide_name": 1,
- "bits": [ 1337 ],
- "attributes": {
- }
- },
- "$abc$8880$n1780_1": {
- "hide_name": 1,
- "bits": [ 1211 ],
- "attributes": {
- }
- },
- "$abc$8880$n1781": {
- "hide_name": 1,
- "bits": [ 1216 ],
- "attributes": {
- }
- },
- "$abc$8880$n1782": {
- "hide_name": 1,
- "bits": [ 1217 ],
- "attributes": {
- }
- },
- "$abc$8880$n1783": {
- "hide_name": 1,
- "bits": [ 1218 ],
- "attributes": {
- }
- },
- "$abc$8880$n1784": {
- "hide_name": 1,
- "bits": [ 1219 ],
- "attributes": {
- }
- },
- "$abc$8880$n1785": {
- "hide_name": 1,
- "bits": [ 1222 ],
- "attributes": {
- }
- },
- "$abc$8880$n1786": {
- "hide_name": 1,
- "bits": [ 1220 ],
- "attributes": {
- }
- },
- "$abc$8880$n1787": {
- "hide_name": 1,
- "bits": [ 1221 ],
- "attributes": {
- }
- },
- "$abc$8880$n1788": {
- "hide_name": 1,
- "bits": [ 1208 ],
- "attributes": {
- }
- },
- "$abc$8880$n1790": {
- "hide_name": 1,
- "bits": [ 1223 ],
- "attributes": {
- }
- },
- "$abc$8880$n1791": {
- "hide_name": 1,
- "bits": [ 1224 ],
- "attributes": {
- }
- },
- "$abc$8880$n1792": {
- "hide_name": 1,
- "bits": [ 1226 ],
- "attributes": {
- }
- },
- "$abc$8880$n1793": {
- "hide_name": 1,
- "bits": [ 1227 ],
- "attributes": {
- }
- },
- "$abc$8880$n1794": {
- "hide_name": 1,
- "bits": [ 1228 ],
- "attributes": {
- }
- },
- "$abc$8880$n1795": {
- "hide_name": 1,
- "bits": [ 1229 ],
- "attributes": {
- }
- },
- "$abc$8880$n1796": {
- "hide_name": 1,
- "bits": [ 1230 ],
- "attributes": {
- }
- },
- "$abc$8880$n1797": {
- "hide_name": 1,
- "bits": [ 1231 ],
- "attributes": {
- }
- },
- "$abc$8880$n1798": {
- "hide_name": 1,
- "bits": [ 1232 ],
- "attributes": {
- }
- },
- "$abc$8880$n1799": {
- "hide_name": 1,
- "bits": [ 1233 ],
- "attributes": {
- }
- },
- "$abc$8880$n1800": {
- "hide_name": 1,
- "bits": [ 1234 ],
- "attributes": {
- }
- },
- "$abc$8880$n1802": {
- "hide_name": 1,
- "bits": [ 1235 ],
- "attributes": {
- }
- },
- "$abc$8880$n1803": {
- "hide_name": 1,
- "bits": [ 1236 ],
- "attributes": {
- }
- },
- "$abc$8880$n1804": {
- "hide_name": 1,
- "bits": [ 1238 ],
- "attributes": {
- }
- },
- "$abc$8880$n1805": {
- "hide_name": 1,
- "bits": [ 1239 ],
- "attributes": {
- }
- },
- "$abc$8880$n1806": {
- "hide_name": 1,
- "bits": [ 1240 ],
- "attributes": {
- }
- },
- "$abc$8880$n1808": {
- "hide_name": 1,
- "bits": [ 1241 ],
- "attributes": {
- }
- },
- "$abc$8880$n1809": {
- "hide_name": 1,
- "bits": [ 1242 ],
- "attributes": {
- }
- },
- "$abc$8880$n1885": {
- "hide_name": 1,
- "bits": [ 1318 ],
- "attributes": {
- }
- },
- "$abc$8880$n1886": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$8880$n1887": {
- "hide_name": 1,
- "bits": [ 1321 ],
- "attributes": {
- }
- },
- "$abc$8880$n1888": {
- "hide_name": 1,
- "bits": [ 1323 ],
- "attributes": {
- }
- },
- "$abc$8880$n1890": {
- "hide_name": 1,
- "bits": [ 1326 ],
- "attributes": {
- }
- },
- "$abc$8880$n1891": {
- "hide_name": 1,
- "bits": [ 1328 ],
- "attributes": {
- }
- },
- "$abc$8880$n1893": {
- "hide_name": 1,
- "bits": [ 1331 ],
- "attributes": {
- }
- },
- "$abc$8880$n1894": {
- "hide_name": 1,
- "bits": [ 1333 ],
- "attributes": {
- }
- },
- "$abc$8880$n1896": {
- "hide_name": 1,
- "bits": [ 1336 ],
- "attributes": {
- }
- },
- "$abc$8880$n1897": {
- "hide_name": 1,
- "bits": [ 1338 ],
- "attributes": {
- }
- },
- "$abc$8880$n1899": {
- "hide_name": 1,
- "bits": [ 1342 ],
- "attributes": {
- }
- },
- "$abc$8880$n1901": {
- "hide_name": 1,
- "bits": [ 1348 ],
- "attributes": {
- }
- },
- "$abc$8880$n1903": {
- "hide_name": 1,
- "bits": [ 1353 ],
- "attributes": {
- }
- },
- "$abc$8880$n1905": {
- "hide_name": 1,
- "bits": [ 1358 ],
- "attributes": {
- }
- },
- "$abc$8880$n1907": {
- "hide_name": 1,
- "bits": [ 1363 ],
- "attributes": {
- }
- },
- "$abc$8880$n1909": {
- "hide_name": 1,
- "bits": [ 1368 ],
- "attributes": {
- }
- },
- "$abc$8880$n1911": {
- "hide_name": 1,
- "bits": [ 1373 ],
- "attributes": {
- }
- },
- "$abc$8880$n1913": {
- "hide_name": 1,
- "bits": [ 1378 ],
- "attributes": {
- }
- },
- "$abc$8880$n1915": {
- "hide_name": 1,
- "bits": [ 1383 ],
- "attributes": {
- }
- },
- "$abc$8880$n1917": {
- "hide_name": 1,
- "bits": [ 1388 ],
- "attributes": {
- }
- },
- "$abc$8880$n1919": {
- "hide_name": 1,
- "bits": [ 1393 ],
- "attributes": {
- }
- },
- "$abc$8880$n1921": {
- "hide_name": 1,
- "bits": [ 1398 ],
- "attributes": {
- }
- },
- "$abc$8880$n1923": {
- "hide_name": 1,
- "bits": [ 1403 ],
- "attributes": {
- }
- },
- "$abc$8880$n1925": {
- "hide_name": 1,
- "bits": [ 1408 ],
- "attributes": {
- }
- },
- "$abc$8880$n1927": {
- "hide_name": 1,
- "bits": [ 1413 ],
- "attributes": {
- }
- },
- "$abc$8880$n1929": {
- "hide_name": 1,
- "bits": [ 1418 ],
- "attributes": {
- }
- },
- "$abc$8880$n1931": {
- "hide_name": 1,
- "bits": [ 1423 ],
- "attributes": {
- }
- },
- "$abc$8880$n1932": {
- "hide_name": 1,
- "bits": [ 621 ],
- "attributes": {
- }
- },
- "$abc$8880$n1933": {
- "hide_name": 1,
- "bits": [ 1426 ],
- "attributes": {
- }
- },
- "$abc$8880$n1934": {
- "hide_name": 1,
- "bits": [ 635 ],
- "attributes": {
- }
- },
- "$abc$8880$n1935": {
- "hide_name": 1,
- "bits": [ 1429 ],
- "attributes": {
- }
- },
- "$abc$8880$n1936": {
- "hide_name": 1,
- "bits": [ 643 ],
- "attributes": {
- }
- },
- "$abc$8880$n1937": {
- "hide_name": 1,
- "bits": [ 1432 ],
- "attributes": {
- }
- },
- "$abc$8880$n1938": {
- "hide_name": 1,
- "bits": [ 651 ],
- "attributes": {
- }
- },
- "$abc$8880$n1939": {
- "hide_name": 1,
- "bits": [ 1435 ],
- "attributes": {
- }
- },
- "$abc$8880$n1940": {
- "hide_name": 1,
- "bits": [ 659 ],
- "attributes": {
- }
- },
- "$abc$8880$n1941": {
- "hide_name": 1,
- "bits": [ 1438 ],
- "attributes": {
- }
- },
- "$abc$8880$n1942": {
- "hide_name": 1,
- "bits": [ 667 ],
- "attributes": {
- }
- },
- "$abc$8880$n1943": {
- "hide_name": 1,
- "bits": [ 1441 ],
- "attributes": {
- }
- },
- "$abc$8880$n1944": {
- "hide_name": 1,
- "bits": [ 675 ],
- "attributes": {
- }
- },
- "$abc$8880$n1945": {
- "hide_name": 1,
- "bits": [ 1444 ],
- "attributes": {
- }
- },
- "$abc$8880$n1946": {
- "hide_name": 1,
- "bits": [ 683 ],
- "attributes": {
- }
- },
- "$abc$8880$n1947": {
- "hide_name": 1,
- "bits": [ 1447 ],
- "attributes": {
- }
- },
- "$abc$8880$n1948": {
- "hide_name": 1,
- "bits": [ 691 ],
- "attributes": {
- }
- },
- "$abc$8880$n1949": {
- "hide_name": 1,
- "bits": [ 1450 ],
- "attributes": {
- }
- },
- "$abc$8880$n1950": {
- "hide_name": 1,
- "bits": [ 699 ],
- "attributes": {
- }
- },
- "$abc$8880$n1951": {
- "hide_name": 1,
- "bits": [ 1453 ],
- "attributes": {
- }
- },
- "$abc$8880$n1952": {
- "hide_name": 1,
- "bits": [ 707 ],
- "attributes": {
- }
- },
- "$abc$8880$n1953": {
- "hide_name": 1,
- "bits": [ 1456 ],
- "attributes": {
- }
- },
- "$abc$8880$n1954": {
- "hide_name": 1,
- "bits": [ 715 ],
- "attributes": {
- }
- },
- "$abc$8880$n1955": {
- "hide_name": 1,
- "bits": [ 1459 ],
- "attributes": {
- }
- },
- "$abc$8880$n1956": {
- "hide_name": 1,
- "bits": [ 723 ],
- "attributes": {
- }
- },
- "$abc$8880$n1957": {
- "hide_name": 1,
- "bits": [ 1462 ],
- "attributes": {
- }
- },
- "$abc$8880$n1958": {
- "hide_name": 1,
- "bits": [ 731 ],
- "attributes": {
- }
- },
- "$abc$8880$n1959": {
- "hide_name": 1,
- "bits": [ 1465 ],
- "attributes": {
- }
- },
- "$abc$8880$n1960": {
- "hide_name": 1,
- "bits": [ 739 ],
- "attributes": {
- }
- },
- "$abc$8880$n1961": {
- "hide_name": 1,
- "bits": [ 1468 ],
- "attributes": {
- }
- },
- "$abc$8880$n1962": {
- "hide_name": 1,
- "bits": [ 747 ],
- "attributes": {
- }
- },
- "$abc$8880$n1963": {
- "hide_name": 1,
- "bits": [ 1469 ],
- "attributes": {
- }
- },
- "$abc$8880$n1964": {
- "hide_name": 1,
- "bits": [ 805 ],
- "attributes": {
- }
- },
- "$abc$8880$n1965": {
- "hide_name": 1,
- "bits": [ 1470 ],
- "attributes": {
- }
- },
- "$abc$8880$n1966": {
- "hide_name": 1,
- "bits": [ 811 ],
- "attributes": {
- }
- },
- "$abc$8880$n1967": {
- "hide_name": 1,
- "bits": [ 1471 ],
- "attributes": {
- }
- },
- "$abc$8880$n1968": {
- "hide_name": 1,
- "bits": [ 817 ],
- "attributes": {
- }
- },
- "$abc$8880$n1969": {
- "hide_name": 1,
- "bits": [ 1472 ],
- "attributes": {
- }
- },
- "$abc$8880$n1970": {
- "hide_name": 1,
- "bits": [ 823 ],
- "attributes": {
- }
- },
- "$abc$8880$n1971": {
- "hide_name": 1,
- "bits": [ 1473 ],
- "attributes": {
- }
- },
- "$abc$8880$n1972": {
- "hide_name": 1,
- "bits": [ 829 ],
- "attributes": {
- }
- },
- "$abc$8880$n1973": {
- "hide_name": 1,
- "bits": [ 1474 ],
- "attributes": {
- }
- },
- "$abc$8880$n1974": {
- "hide_name": 1,
- "bits": [ 835 ],
- "attributes": {
- }
- },
- "$abc$8880$n1975": {
- "hide_name": 1,
- "bits": [ 1475 ],
- "attributes": {
- }
- },
- "$abc$8880$n1976": {
- "hide_name": 1,
- "bits": [ 841 ],
- "attributes": {
- }
- },
- "$abc$8880$n1977": {
- "hide_name": 1,
- "bits": [ 1476 ],
- "attributes": {
- }
- },
- "$abc$8880$n1978": {
- "hide_name": 1,
- "bits": [ 847 ],
- "attributes": {
- }
- },
- "$abc$8880$n1979": {
- "hide_name": 1,
- "bits": [ 1477 ],
- "attributes": {
- }
- },
- "$abc$8880$n1980": {
- "hide_name": 1,
- "bits": [ 853 ],
- "attributes": {
- }
- },
- "$abc$8880$n1981": {
- "hide_name": 1,
- "bits": [ 1478 ],
- "attributes": {
- }
- },
- "$abc$8880$n1982": {
- "hide_name": 1,
- "bits": [ 859 ],
- "attributes": {
- }
- },
- "$abc$8880$n1983": {
- "hide_name": 1,
- "bits": [ 1479 ],
- "attributes": {
- }
- },
- "$abc$8880$n1984": {
- "hide_name": 1,
- "bits": [ 865 ],
- "attributes": {
- }
- },
- "$abc$8880$n1985": {
- "hide_name": 1,
- "bits": [ 1480 ],
- "attributes": {
- }
- },
- "$abc$8880$n1986": {
- "hide_name": 1,
- "bits": [ 871 ],
- "attributes": {
- }
- },
- "$abc$8880$n1987": {
- "hide_name": 1,
- "bits": [ 1481 ],
- "attributes": {
- }
- },
- "$abc$8880$n1988": {
- "hide_name": 1,
- "bits": [ 877 ],
- "attributes": {
- }
- },
- "$abc$8880$n1989": {
- "hide_name": 1,
- "bits": [ 1482 ],
- "attributes": {
- }
- },
- "$abc$8880$n1990": {
- "hide_name": 1,
- "bits": [ 883 ],
- "attributes": {
- }
- },
- "$abc$8880$n1991": {
- "hide_name": 1,
- "bits": [ 1483 ],
- "attributes": {
- }
- },
- "$abc$8880$n1992": {
- "hide_name": 1,
- "bits": [ 889 ],
- "attributes": {
- }
- },
- "$abc$8880$n1993": {
- "hide_name": 1,
- "bits": [ 1484 ],
- "attributes": {
- }
- },
- "$abc$8880$n1994": {
- "hide_name": 1,
- "bits": [ 895 ],
- "attributes": {
- }
- },
- "$abc$8880$n2264": {
- "hide_name": 1,
- "bits": [ 1025 ],
- "attributes": {
- }
- },
- "$abc$8880$n2265": {
- "hide_name": 1,
- "bits": [ 1138 ],
- "attributes": {
- }
- },
- "$abc$8880$n2266": {
- "hide_name": 1,
- "bits": [ 1140 ],
- "attributes": {
- }
- },
- "$abc$8880$n2267": {
- "hide_name": 1,
- "bits": [ 1160 ],
- "attributes": {
- }
- },
- "$abc$8880$n2268": {
- "hide_name": 1,
- "bits": [ 1180 ],
- "attributes": {
- }
- },
- "$abc$8880$n2269": {
- "hide_name": 1,
- "bits": [ 1205 ],
- "attributes": {
- }
- },
- "$abc$8880$n2270": {
- "hide_name": 1,
- "bits": [ 1225 ],
- "attributes": {
- }
- },
- "$abc$8880$n2271": {
- "hide_name": 1,
- "bits": [ 1237 ],
- "attributes": {
- }
- },
- "$abc$8880$n2272": {
- "hide_name": 1,
- "bits": [ 1243 ],
- "attributes": {
- }
- },
- "$abc$8880$n2273": {
- "hide_name": 1,
- "bits": [ 1244 ],
- "attributes": {
- }
- },
- "$abc$8880$n2274": {
- "hide_name": 1,
- "bits": [ 1245 ],
- "attributes": {
- }
- },
- "$abc$8880$n2275": {
- "hide_name": 1,
- "bits": [ 1246 ],
- "attributes": {
- }
- },
- "$abc$8880$n2276": {
- "hide_name": 1,
- "bits": [ 1247 ],
- "attributes": {
- }
- },
- "$abc$8880$n2277": {
- "hide_name": 1,
- "bits": [ 1248 ],
- "attributes": {
- }
- },
- "$abc$8880$n2278": {
- "hide_name": 1,
- "bits": [ 1249 ],
- "attributes": {
- }
- },
- "$abc$8880$n2279": {
- "hide_name": 1,
- "bits": [ 1250 ],
- "attributes": {
- }
- },
- "$abc$8880$n3": {
- "hide_name": 1,
- "bits": [ 16 ],
- "attributes": {
- }
- },
- "$abc$8880$n402": {
- "hide_name": 1,
- "bits": [ 462 ],
- "attributes": {
- }
- },
- "$abc$8880$n404": {
- "hide_name": 1,
- "bits": [ 464 ],
- "attributes": {
- }
- },
- "$abc$8880$n405": {
- "hide_name": 1,
- "bits": [ 1260 ],
- "attributes": {
- }
- },
- "$abc$8880$n406": {
- "hide_name": 1,
- "bits": [ 468 ],
- "attributes": {
- }
- },
- "$abc$8880$n41": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$8880$n410": {
- "hide_name": 1,
- "bits": [ 469 ],
- "attributes": {
- }
- },
- "$abc$8880$n413": {
- "hide_name": 1,
- "bits": [ 472 ],
- "attributes": {
- }
- },
- "$abc$8880$n415": {
- "hide_name": 1,
- "bits": [ 475 ],
- "attributes": {
- }
- },
- "$abc$8880$n423": {
- "hide_name": 1,
- "bits": [ 477 ],
- "attributes": {
- }
- },
- "$abc$8880$n426": {
- "hide_name": 1,
- "bits": [ 476 ],
- "attributes": {
- }
- },
- "$abc$8880$n430": {
- "hide_name": 1,
- "bits": [ 479 ],
- "attributes": {
- }
- },
- "$abc$8880$n435": {
- "hide_name": 1,
- "bits": [ 483 ],
- "attributes": {
- }
- },
- "$abc$8880$n437": {
- "hide_name": 1,
- "bits": [ 486 ],
- "attributes": {
- }
- },
- "$abc$8880$n443": {
- "hide_name": 1,
- "bits": [ 488 ],
- "attributes": {
- }
- },
- "$abc$8880$n444": {
- "hide_name": 1,
- "bits": [ 490 ],
- "attributes": {
- }
- },
- "$abc$8880$n607": {
- "hide_name": 1,
- "bits": [ 1419 ],
- "attributes": {
- }
- },
- "$abc$8880$n608": {
- "hide_name": 1,
- "bits": [ 1417 ],
- "attributes": {
- }
- },
- "$abc$8880$n609": {
- "hide_name": 1,
- "bits": [ 1344 ],
- "attributes": {
- }
- },
- "$abc$8880$n610": {
- "hide_name": 1,
- "bits": [ 1399 ],
- "attributes": {
- }
- },
- "$abc$8880$n611": {
- "hide_name": 1,
- "bits": [ 1397 ],
- "attributes": {
- }
- },
- "$abc$8880$n612": {
- "hide_name": 1,
- "bits": [ 1414 ],
- "attributes": {
- }
- },
- "$abc$8880$n613": {
- "hide_name": 1,
- "bits": [ 1412 ],
- "attributes": {
- }
- },
- "$abc$8880$n614": {
- "hide_name": 1,
- "bits": [ 1339 ],
- "attributes": {
- }
- },
- "$abc$8880$n615": {
- "hide_name": 1,
- "bits": [ 1340 ],
- "attributes": {
- }
- },
- "$abc$8880$n616": {
- "hide_name": 1,
- "bits": [ 1341 ],
- "attributes": {
- }
- },
- "$abc$8880$n617": {
- "hide_name": 1,
- "bits": [ 1343 ],
- "attributes": {
- }
- },
- "$abc$8880$n619": {
- "hide_name": 1,
- "bits": [ 1346 ],
- "attributes": {
- }
- },
- "$abc$8880$n620": {
- "hide_name": 1,
- "bits": [ 1347 ],
- "attributes": {
- }
- },
- "$abc$8880$n621": {
- "hide_name": 1,
- "bits": [ 1356 ],
- "attributes": {
- }
- },
- "$abc$8880$n622": {
- "hide_name": 1,
- "bits": [ 1357 ],
- "attributes": {
- }
- },
- "$abc$8880$n623": {
- "hide_name": 1,
- "bits": [ 1351 ],
- "attributes": {
- }
- },
- "$abc$8880$n624": {
- "hide_name": 1,
- "bits": [ 1352 ],
- "attributes": {
- }
- },
- "$abc$8880$n625": {
- "hide_name": 1,
- "bits": [ 1361 ],
- "attributes": {
- }
- },
- "$abc$8880$n626": {
- "hide_name": 1,
- "bits": [ 1362 ],
- "attributes": {
- }
- },
- "$abc$8880$n627": {
- "hide_name": 1,
- "bits": [ 1371 ],
- "attributes": {
- }
- },
- "$abc$8880$n628": {
- "hide_name": 1,
- "bits": [ 1372 ],
- "attributes": {
- }
- },
- "$abc$8880$n629": {
- "hide_name": 1,
- "bits": [ 1366 ],
- "attributes": {
- }
- },
- "$abc$8880$n630": {
- "hide_name": 1,
- "bits": [ 1367 ],
- "attributes": {
- }
- },
- "$abc$8880$n631": {
- "hide_name": 1,
- "bits": [ 1381 ],
- "attributes": {
- }
- },
- "$abc$8880$n632": {
- "hide_name": 1,
- "bits": [ 1382 ],
- "attributes": {
- }
- },
- "$abc$8880$n633": {
- "hide_name": 1,
- "bits": [ 1376 ],
- "attributes": {
- }
- },
- "$abc$8880$n634": {
- "hide_name": 1,
- "bits": [ 1377 ],
- "attributes": {
- }
- },
- "$abc$8880$n635": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$8880$n638": {
- "hide_name": 1,
- "bits": [ 290 ],
- "attributes": {
- }
- },
- "$abc$8880$n640": {
- "hide_name": 1,
- "bits": [ 297 ],
- "attributes": {
- }
- },
- "$abc$8880$n642": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$8880$n644": {
- "hide_name": 1,
- "bits": [ 390 ],
- "attributes": {
- }
- },
- "$abc$8880$n646": {
- "hide_name": 1,
- "bits": [ 375 ],
- "attributes": {
- }
- },
- "$abc$8880$n648": {
- "hide_name": 1,
- "bits": [ 397 ],
- "attributes": {
- }
- },
- "$abc$8880$n650": {
- "hide_name": 1,
- "bits": [ 404 ],
- "attributes": {
- }
- },
- "$abc$8880$n652": {
- "hide_name": 1,
- "bits": [ 250 ],
- "attributes": {
- }
- },
- "$abc$8880$n673": {
- "hide_name": 1,
- "bits": [ 275 ],
- "attributes": {
- }
- },
- "$abc$8880$n677": {
- "hide_name": 1,
- "bits": [ 301 ],
- "attributes": {
- }
- },
- "$abc$8880$n679": {
- "hide_name": 1,
- "bits": [ 269 ],
- "attributes": {
- }
- },
- "$abc$8880$n681": {
- "hide_name": 1,
- "bits": [ 394 ],
- "attributes": {
- }
- },
- "$abc$8880$n683": {
- "hide_name": 1,
- "bits": [ 379 ],
- "attributes": {
- }
- },
- "$abc$8880$n685": {
- "hide_name": 1,
- "bits": [ 401 ],
- "attributes": {
- }
- },
- "$abc$8880$n687": {
- "hide_name": 1,
- "bits": [ 408 ],
- "attributes": {
- }
- },
- "$abc$8880$n689": {
- "hide_name": 1,
- "bits": [ 255 ],
- "attributes": {
- }
- },
- "$abc$8880$n7": {
- "hide_name": 1,
- "bits": [ 1253 ],
- "attributes": {
- }
- },
- "$abc$8880$n767": {
- "hide_name": 1,
- "bits": [ 574 ],
- "attributes": {
- }
- },
- "$abc$8880$n770": {
- "hide_name": 1,
- "bits": [ 578 ],
- "attributes": {
- }
- },
- "$abc$8880$n773": {
- "hide_name": 1,
- "bits": [ 587 ],
- "attributes": {
- }
- },
- "$abc$8880$n775": {
- "hide_name": 1,
- "bits": [ 591 ],
- "attributes": {
- }
- },
- "$abc$8880$n777": {
- "hide_name": 1,
- "bits": [ 594 ],
- "attributes": {
- }
- },
- "$abc$8880$n779": {
- "hide_name": 1,
- "bits": [ 596 ],
- "attributes": {
- }
- },
- "$abc$8880$n781": {
- "hide_name": 1,
- "bits": [ 598 ],
- "attributes": {
- }
- },
- "$abc$8880$n783": {
- "hide_name": 1,
- "bits": [ 600 ],
- "attributes": {
- }
- },
- "$abc$8880$n785": {
- "hide_name": 1,
- "bits": [ 602 ],
- "attributes": {
- }
- },
- "$abc$8880$n787": {
- "hide_name": 1,
- "bits": [ 604 ],
- "attributes": {
- }
- },
- "$abc$8880$n789": {
- "hide_name": 1,
- "bits": [ 606 ],
- "attributes": {
- }
- },
- "$abc$8880$n791": {
- "hide_name": 1,
- "bits": [ 608 ],
- "attributes": {
- }
- },
- "$abc$8880$n798": {
- "hide_name": 1,
- "bits": [ 610 ],
- "attributes": {
- }
- },
- "$abc$8880$n799": {
- "hide_name": 1,
- "bits": [ 612 ],
- "attributes": {
- }
- },
- "$abc$8880$n800": {
- "hide_name": 1,
- "bits": [ 613 ],
- "attributes": {
- }
- },
- "$abc$8880$n802": {
- "hide_name": 1,
- "bits": [ 614 ],
- "attributes": {
- }
- },
- "$abc$8880$n828": {
- "hide_name": 1,
- "bits": [ 1394 ],
- "attributes": {
- }
- },
- "$abc$8880$n829": {
- "hide_name": 1,
- "bits": [ 1392 ],
- "attributes": {
- }
- },
- "$abc$8880$n830": {
- "hide_name": 1,
- "bits": [ 1404 ],
- "attributes": {
- }
- },
- "$abc$8880$n831": {
- "hide_name": 1,
- "bits": [ 1402 ],
- "attributes": {
- }
- },
- "$abc$8880$n832": {
- "hide_name": 1,
- "bits": [ 1409 ],
- "attributes": {
- }
- },
- "$abc$8880$n833": {
- "hide_name": 1,
- "bits": [ 1407 ],
- "attributes": {
- }
- },
- "$abc$8880$n836": {
- "hide_name": 1,
- "bits": [ 623 ],
- "attributes": {
- }
- },
- "$abc$8880$n839": {
- "hide_name": 1,
- "bits": [ 636 ],
- "attributes": {
- }
- },
- "$abc$8880$n842": {
- "hide_name": 1,
- "bits": [ 644 ],
- "attributes": {
- }
- },
- "$abc$8880$n845": {
- "hide_name": 1,
- "bits": [ 652 ],
- "attributes": {
- }
- },
- "$abc$8880$n848": {
- "hide_name": 1,
- "bits": [ 660 ],
- "attributes": {
- }
- },
- "$abc$8880$n851": {
- "hide_name": 1,
- "bits": [ 668 ],
- "attributes": {
- }
- },
- "$abc$8880$n854": {
- "hide_name": 1,
- "bits": [ 676 ],
- "attributes": {
- }
- },
- "$abc$8880$n857": {
- "hide_name": 1,
- "bits": [ 684 ],
- "attributes": {
- }
- },
- "$abc$8880$n860": {
- "hide_name": 1,
- "bits": [ 692 ],
- "attributes": {
- }
- },
- "$abc$8880$n863": {
- "hide_name": 1,
- "bits": [ 700 ],
- "attributes": {
- }
- },
- "$abc$8880$n866": {
- "hide_name": 1,
- "bits": [ 708 ],
- "attributes": {
- }
- },
- "$abc$8880$n869": {
- "hide_name": 1,
- "bits": [ 716 ],
- "attributes": {
- }
- },
- "$abc$8880$n870": {
- "hide_name": 1,
- "bits": [ 13 ],
- "attributes": {
- }
- },
- "$abc$8880$n871": {
- "hide_name": 1,
- "bits": [ 19 ],
- "attributes": {
- }
- },
- "$abc$8880$n872": {
- "hide_name": 1,
- "bits": [ 724 ],
- "attributes": {
- }
- },
- "$abc$8880$n872_1": {
- "hide_name": 1,
- "bits": [ 14 ],
- "attributes": {
- }
- },
- "$abc$8880$n874": {
- "hide_name": 1,
- "bits": [ 27 ],
- "attributes": {
- }
- },
- "$abc$8880$n875": {
- "hide_name": 1,
- "bits": [ 732 ],
- "attributes": {
- }
- },
- "$abc$8880$n875_1": {
- "hide_name": 1,
- "bits": [ 34 ],
- "attributes": {
- }
- },
- "$abc$8880$n878": {
- "hide_name": 1,
- "bits": [ 740 ],
- "attributes": {
- }
- },
- "$abc$8880$n878_1": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$8880$n880": {
- "hide_name": 1,
- "bits": [ 52 ],
- "attributes": {
- }
- },
- "$abc$8880$n881": {
- "hide_name": 1,
- "bits": [ 748 ],
- "attributes": {
- }
- },
- "$abc$8880$n881_1": {
- "hide_name": 1,
- "bits": [ 58 ],
- "attributes": {
- }
- },
- "$abc$8880$n882": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$8880$n883": {
- "hide_name": 1,
- "bits": [ 59 ],
- "attributes": {
- }
- },
- "$abc$8880$n885": {
- "hide_name": 1,
- "bits": [ 66 ],
- "attributes": {
- }
- },
- "$abc$8880$n887": {
- "hide_name": 1,
- "bits": [ 61 ],
- "attributes": {
- }
- },
- "$abc$8880$n888": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$8880$n890": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$8880$n891": {
- "hide_name": 1,
- "bits": [ 74 ],
- "attributes": {
- }
- },
- "$abc$8880$n893": {
- "hide_name": 1,
- "bits": [ 60 ],
- "attributes": {
- }
- },
- "$abc$8880$n894": {
- "hide_name": 1,
- "bits": [ 85 ],
- "attributes": {
- }
- },
- "$abc$8880$n895": {
- "hide_name": 1,
- "bits": [ 86 ],
- "attributes": {
- }
- },
- "$abc$8880$n896": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$8880$n898": {
- "hide_name": 1,
- "bits": [ 57 ],
- "attributes": {
- }
- },
- "$abc$8880$n899": {
- "hide_name": 1,
- "bits": [ 90 ],
- "attributes": {
- }
- },
- "$abc$8880$n900": {
- "hide_name": 1,
- "bits": [ 91 ],
- "attributes": {
- }
- },
- "$abc$8880$n902": {
- "hide_name": 1,
- "bits": [ 95 ],
- "attributes": {
- }
- },
- "$abc$8880$n904": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$8880$n906": {
- "hide_name": 1,
- "bits": [ 93 ],
- "attributes": {
- }
- },
- "$abc$8880$n907": {
- "hide_name": 1,
- "bits": [ 55 ],
- "attributes": {
- }
- },
- "$abc$8880$n908": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$8880$n909": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$8880$n911": {
- "hide_name": 1,
- "bits": [ 54 ],
- "attributes": {
- }
- },
- "$abc$8880$n912": {
- "hide_name": 1,
- "bits": [ 104 ],
- "attributes": {
- }
- },
- "$abc$8880$n913": {
- "hide_name": 1,
- "bits": [ 107 ],
- "attributes": {
- }
- },
- "$abc$8880$n914_1": {
- "hide_name": 1,
- "bits": [ 105 ],
- "attributes": {
- }
- },
- "$abc$8880$n915_1": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$8880$n916": {
- "hide_name": 1,
- "bits": [ 108 ],
- "attributes": {
- }
- },
- "$abc$8880$n917_1": {
- "hide_name": 1,
- "bits": [ 109 ],
- "attributes": {
- }
- },
- "$abc$8880$n918_1": {
- "hide_name": 1,
- "bits": [ 111 ],
- "attributes": {
- }
- },
- "$abc$8880$n919": {
- "hide_name": 1,
- "bits": [ 112 ],
- "attributes": {
- }
- },
- "$abc$8880$n920_1": {
- "hide_name": 1,
- "bits": [ 113 ],
- "attributes": {
- }
- },
- "$abc$8880$n922": {
- "hide_name": 1,
- "bits": [ 110 ],
- "attributes": {
- }
- },
- "$abc$8880$n923_1": {
- "hide_name": 1,
- "bits": [ 116 ],
- "attributes": {
- }
- },
- "$abc$8880$n924_1": {
- "hide_name": 1,
- "bits": [ 117 ],
- "attributes": {
- }
- },
- "$abc$8880$n925": {
- "hide_name": 1,
- "bits": [ 53 ],
- "attributes": {
- }
- },
- "$abc$8880$n926_1": {
- "hide_name": 1,
- "bits": [ 120 ],
- "attributes": {
- }
- },
- "$abc$8880$n927_1": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$8880$n928": {
- "hide_name": 1,
- "bits": [ 122 ],
- "attributes": {
- }
- },
- "$abc$8880$n929_1": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$8880$n930_1": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$8880$n931": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$8880$n932_1": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$8880$n933_1": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$8880$n934": {
- "hide_name": 1,
- "bits": [ 130 ],
- "attributes": {
- }
- },
- "$abc$8880$n936_1": {
- "hide_name": 1,
- "bits": [ 127 ],
- "attributes": {
- }
- },
- "$abc$8880$n937": {
- "hide_name": 1,
- "bits": [ 133 ],
- "attributes": {
- }
- },
- "$abc$8880$n938_1": {
- "hide_name": 1,
- "bits": [ 134 ],
- "attributes": {
- }
- },
- "$abc$8880$n939_1": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$8880$n940": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$8880$n941_1": {
- "hide_name": 1,
- "bits": [ 137 ],
- "attributes": {
- }
- },
- "$abc$8880$n943": {
- "hide_name": 1,
- "bits": [ 50 ],
- "attributes": {
- }
- },
- "$abc$8880$n944_1": {
- "hide_name": 1,
- "bits": [ 140 ],
- "attributes": {
- }
- },
- "$abc$8880$n945_1": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$8880$n946": {
- "hide_name": 1,
- "bits": [ 142 ],
- "attributes": {
- }
- },
- "$abc$8880$n947_1": {
- "hide_name": 1,
- "bits": [ 143 ],
- "attributes": {
- }
- },
- "$abc$8880$n948_1": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$8880$n949": {
- "hide_name": 1,
- "bits": [ 146 ],
- "attributes": {
- }
- },
- "$abc$8880$n950_1": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$8880$n951_1": {
- "hide_name": 1,
- "bits": [ 149 ],
- "attributes": {
- }
- },
- "$abc$8880$n952": {
- "hide_name": 1,
- "bits": [ 151 ],
- "attributes": {
- }
- },
- "$abc$8880$n953_1": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$8880$n954_1": {
- "hide_name": 1,
- "bits": [ 153 ],
- "attributes": {
- }
- },
- "$abc$8880$n956_1": {
- "hide_name": 1,
- "bits": [ 150 ],
- "attributes": {
- }
- },
- "$abc$8880$n957_1": {
- "hide_name": 1,
- "bits": [ 156 ],
- "attributes": {
- }
- },
- "$abc$8880$n958": {
- "hide_name": 1,
- "bits": [ 157 ],
- "attributes": {
- }
- },
- "$abc$8880$n959_1": {
- "hide_name": 1,
- "bits": [ 158 ],
- "attributes": {
- }
- },
- "$abc$8880$n960_1": {
- "hide_name": 1,
- "bits": [ 147 ],
- "attributes": {
- }
- },
- "$abc$8880$n961": {
- "hide_name": 1,
- "bits": [ 160 ],
- "attributes": {
- }
- },
- "$abc$8880$n962_1": {
- "hide_name": 1,
- "bits": [ 161 ],
- "attributes": {
- }
- },
- "$abc$8880$n964_1": {
- "hide_name": 1,
- "bits": [ 144 ],
- "attributes": {
- }
- },
- "$abc$8880$n965_1": {
- "hide_name": 1,
- "bits": [ 49 ],
- "attributes": {
- }
- },
- "$abc$8880$n966_1": {
- "hide_name": 1,
- "bits": [ 51 ],
- "attributes": {
- }
- },
- "$abc$8880$n969_1": {
- "hide_name": 1,
- "bits": [ 167 ],
- "attributes": {
- }
- },
- "$abc$8880$n971_1": {
- "hide_name": 1,
- "bits": [ 168 ],
- "attributes": {
- }
- },
- "$abc$8880$n972_1": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$8880$n973_1": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$8880$n974_1": {
- "hide_name": 1,
- "bits": [ 170 ],
- "attributes": {
- }
- },
- "$abc$8880$n975_1": {
- "hide_name": 1,
- "bits": [ 174 ],
- "attributes": {
- }
- },
- "$abc$8880$n976_1": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$8880$n977_1": {
- "hide_name": 1,
- "bits": [ 176 ],
- "attributes": {
- }
- },
- "$abc$8880$n978_1": {
- "hide_name": 1,
- "bits": [ 47 ],
- "attributes": {
- }
- },
- "$abc$8880$n979_1": {
- "hide_name": 1,
- "bits": [ 42 ],
- "attributes": {
- }
- },
- "$abc$8880$n982_1": {
- "hide_name": 1,
- "bits": [ 185 ],
- "attributes": {
- }
- },
- "$abc$8880$n983_1": {
- "hide_name": 1,
- "bits": [ 186 ],
- "attributes": {
- }
- },
- "$abc$8880$n984_1": {
- "hide_name": 1,
- "bits": [ 187 ],
- "attributes": {
- }
- },
- "$abc$8880$n985_1": {
- "hide_name": 1,
- "bits": [ 188 ],
- "attributes": {
- }
- },
- "$abc$8880$n986_1": {
- "hide_name": 1,
- "bits": [ 182 ],
- "attributes": {
- }
- },
- "$abc$8880$n987_1": {
- "hide_name": 1,
- "bits": [ 194 ],
- "attributes": {
- }
- },
- "$abc$8880$n988_1": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$8880$n989_1": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$8880$n990_1": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$8880$n992_1": {
- "hide_name": 1,
- "bits": [ 210 ],
- "attributes": {
- }
- },
- "$abc$8880$n994": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$8880$n995": {
- "hide_name": 1,
- "bits": [ 208 ],
- "attributes": {
- }
- },
- "$abc$8880$n996": {
- "hide_name": 1,
- "bits": [ 214 ],
- "attributes": {
- }
- },
- "$abc$8880$n997": {
- "hide_name": 1,
- "bits": [ 215 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$721.C": {
- "hide_name": 1,
- "bits": [ 1778, 1779, 1780, 1781, 1782, 1783, 1485, 1486, 1487, 1488 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.C": {
- "hide_name": 1,
- "bits": [ 1784, 1785, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$748.C": {
- "hide_name": 1,
- "bits": [ 1786, 1787, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:84|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$753.C": {
- "hide_name": 1,
- "bits": [ 1788, 1506, 1508, 1510, 1512, 1514, 1516, 1518, 1520, 1789, 1790, 1791, 1792, 1793, 1794, 1795 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:205|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$756.C": {
- "hide_name": 1,
- "bits": [ 1796, 1797, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1798, 1799, 1800, 1801, 1802, 1803, 1804 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$759.C": {
- "hide_name": 1,
- "bits": [ 1805, 1529, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1530, 1531, 1532, 1533, 1534, 1535 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:295|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$772.C": {
- "hide_name": 1,
- "bits": [ 1806, 1544, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1545, 1546, 1547, 1548, 1549, 1550 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:289|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$775.C": {
- "hide_name": 1,
- "bits": [ 1807, 1559, 1566, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1560, 1561, 1562, 1563, 1564, 1565 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:290|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$778.C": {
- "hide_name": 1,
- "bits": [ 1808, 1809, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1574, 1575, 1577, 1578, 1579, 1580 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$781.C": {
- "hide_name": 1,
- "bits": [ 1810, 1811, 1590, 1592 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$784.C": {
- "hide_name": 1,
- "bits": [ 1812, 1813, 1607, 1609, 1611, 1613, 1615, 1617, 1619, 1621, 1595, 1597, 1599, 1601, 1603, 1605 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:96|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$787.C": {
- "hide_name": 1,
- "bits": [ 1814, 1815, 1624, 1626 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:75|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$790.C": {
- "hide_name": 1,
- "bits": [ 1816, 1817, 1628, 1629, 1630, 1631 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:78|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$793.C": {
- "hide_name": 1,
- "bits": [ 1818, 1819, 1633, 1634, 1635, 1636, 1637, 1638, 1639, 1640 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$796.C": {
- "hide_name": 1,
- "bits": [ 1820, 1821, 1643, 1645, 1647, 1649, 1651, 1653, 1655, 1657 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$799.C": {
- "hide_name": 1,
- "bits": [ 1822, 1823, 1824, 1825, 1826, 1827, 1828, 1659, 1661 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:42|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$maccmap.cc:240:synth$2377.C": {
- "hide_name": 1,
- "bits": [ 1829, 1830, 1831, 1832, 1833, 1834, 1835, 1836, 1669, 1670, 1663, 1664, 1665, 1666, 1667, 1668 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$techmap912\\vga.vram.mem.2.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1749, 1750, 1751, 1837, 1752, 1753, 1754, 1755, 1756, 1757, 1758, 1838, 1759, 1760, 1761, 1762 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap914\\vga.vram.mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1721, 1722, 1723, 1839, 1724, 1725, 1726, 1727, 1728, 1729, 1730, 1840, 1731, 1732, 1733, 1734 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap916\\vga.vram.mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1735, 1736, 1737, 1841, 1738, 1739, 1740, 1741, 1742, 1743, 1744, 1842, 1745, 1746, 1747, 1748 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap918\\vga.vram.mem.3.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1763, 1764, 1765, 1843, 1766, 1767, 1768, 1769, 1770, 1771, 1772, 1844, 1774, 1775, 1776, 1777 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap920\\vga.pixeldata0.pattern_rom.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1709, 1845, 1710, 1711, 1712, 1846, 1713, 1714, 1715, 1847, 1716, 1717, 1718, 1848, 1719, 1720 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap923\\vga.pixeldata0.pattern_rom.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1697, 1849, 1698, 1699, 1700, 1850, 1701, 1702, 1703, 1851, 1704, 1705, 1706, 1852, 1707, 1708 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap\\cpu.$0\\ex_branch_tgt[15:0]": {
- "hide_name": 1,
- "bits": [ 1505, 1507, 1509, 1511, 1513, 1515, 1517, 1519, 1521, 1853, 1854, 1855, 1856, 1857, 1858, 1859 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:201"
- }
- },
- "$techmap\\sdi.$add$hdl/spi_debug_ifc.v:45$36_Y": {
- "hide_name": 1,
- "bits": [ 1589, 1860, 1591, 1593 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:45"
- }
- },
- "$techmap\\vga.pixeldata0.$2\\next_ppos[3:0]": {
- "hide_name": 1,
- "bits": [ 1623, 1861, 1625, 1627 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:64"
- }
- },
- "$techmap\\vga.vga0.$add$hdl/vga/vga.v:70$134_Y": {
- "hide_name": 1,
- "bits": [ 1632, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1641 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:70"
- }
- },
- "$techmap\\vga.vga0.$add$hdl/vga/vga.v:76$135_Y": {
- "hide_name": 1,
- "bits": [ 1642, 1870, 1644, 1646, 1648, 1650, 1652, 1654, 1656, 1658 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:76"
- }
- },
- "clk12m": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:23"
- }
- },
- "clk12m_in": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "hdl/ice40.v:9"
- }
- },
- "clk25m": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:24"
- }
- },
- "cpu.alu.op": {
- "hide_name": 0,
- "bits": [ 166, 165, 164 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:279"
- }
- },
- "cpu.alu.r": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:285"
- }
- },
- "cpu.alu.rdata": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:282"
- }
- },
- "cpu.alu.xdata": {
- "hide_name": 0,
- "bits": [ 63, 77, 83, 88, 96, 114, 131, 154, 350, 346, 338, 334, 220, 209, 231, 242 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:280"
- }
- },
- "cpu.alu.ydata": {
- "hide_name": 0,
- "bits": [ 1262, 1263, 1264, 1265, 1266, 1267, 1268, 1269, 1270, 1271, 1272, 1273, 1274, 1275, 1276, 1277 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:221|hdl/cpu16.sv:281"
- }
- },
- "cpu.clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:7"
- }
- },
- "cpu.dat_rd_req": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:16"
- }
- },
- "cpu.dat_rw_addr": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:13"
- }
- },
- "cpu.dat_wr_data": {
- "hide_name": 0,
- "bits": [ 82, 76, 80, 65, 100, 119, 139, 163, 348, 344, 336, 332, 223, 212, 234, 245 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:14"
- }
- },
- "cpu.dat_wr_req": {
- "hide_name": 0,
- "bits": [ 67 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:17"
- }
- },
- "cpu.do_adata_zero": {
- "hide_name": 0,
- "bits": [ 454 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:89"
- }
- },
- "cpu.do_bdata_imm": {
- "hide_name": 0,
- "bits": [ 456 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:90"
- }
- },
- "cpu.do_branch_imm": {
- "hide_name": 0,
- "bits": [ 561 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:92"
- }
- },
- "cpu.do_mem_read": {
- "hide_name": 0,
- "bits": [ 563 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:97"
- }
- },
- "cpu.do_mem_write": {
- "hide_name": 0,
- "bits": [ 564 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:98"
- }
- },
- "cpu.do_set_ext": {
- "hide_name": 0,
- "bits": [ 565 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:99"
- }
- },
- "cpu.do_use_imm9_or_imm6": {
- "hide_name": 0,
- "bits": [ 454 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:96"
- }
- },
- "cpu.do_wr_link": {
- "hide_name": 0,
- "bits": [ 990 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:91"
- }
- },
- "cpu.do_wreg_alu": {
- "hide_name": 0,
- "bits": [ 460 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:87"
- }
- },
- "cpu.ex_adata": {
- "hide_name": 0,
- "bits": [ 71, 78, 84, 89, 97, 115, 132, 155, 351, 347, 339, 335, 222, 211, 233, 244 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:167"
- }
- },
- "cpu.ex_alu_op": {
- "hide_name": 0,
- "bits": [ 166, 165, 164 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:185"
- }
- },
- "cpu.ex_alu_rdata": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:169"
- }
- },
- "cpu.ex_bdata": {
- "hide_name": 0,
- "bits": [ 82, 76, 80, 65, 100, 119, 139, 163, 348, 344, 336, 332, 223, 212, 234, 245 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:168"
- }
- },
- "cpu.ex_branch_tgt": {
- "hide_name": 0,
- "bits": [ 272, 292, 298, 266, 391, 376, 398, 405, 251, "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "init": 0,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:184"
- }
- },
- "cpu.ex_do_adata_zero": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:189"
- }
- },
- "cpu.ex_do_bdata_imm": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:190"
- }
- },
- "cpu.ex_do_branch_imm": {
- "hide_name": 0,
- "bits": [ 253 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:192"
- }
- },
- "cpu.ex_do_mem_read": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:196"
- }
- },
- "cpu.ex_do_mem_write": {
- "hide_name": 0,
- "bits": [ 67 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:197"
- }
- },
- "cpu.ex_do_wreg_alu": {
- "hide_name": 0,
- "bits": [ 1013 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:187"
- }
- },
- "cpu.ex_imm": {
- "hide_name": 0,
- "bits": [ 81, 75, 79, 64, 99, 118, 138, 162, 349, 345, 337, 333, 224, 213, 235, 246 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:199"
- }
- },
- "cpu.ex_wsel": {
- "hide_name": 0,
- "bits": [ 1010, 1012, 1011 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:186"
- }
- },
- "cpu.ins_rd_data": {
- "hide_name": 0,
- "bits": [ 1345, 1350, 1355, 1360, 1365, 1370, 1375, 1380, 1385, 1390, 1395, 1400, 1405, 1410, 1415, 1420 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:9"
- }
- },
- "cpu.ins_rd_rdy": {
- "hide_name": 0,
- "bits": [ 256 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:11"
- }
- },
- "cpu.ir": {
- "hide_name": 0,
- "bits": [ 459, 458, 457, 455, 609, 611, 583, 579, 588, 592, 632, 624, 626, 615, 571, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:32"
- }
- },
- "cpu.ir_alu_op": {
- "hide_name": 0,
- "bits": [ 616, 617, 618 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:77"
- }
- },
- "cpu.ir_asel": {
- "hide_name": 0,
- "bits": [ 579, 588, 592 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:75"
- }
- },
- "cpu.ir_bsel": {
- "hide_name": 0,
- "bits": [ 632, 624, 626 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:76"
- }
- },
- "cpu.ir_csel": {
- "hide_name": 0,
- "bits": [ 609, 611, 583 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:74"
- }
- },
- "cpu.ir_ext_imm": {
- "hide_name": 0,
- "bits": [ 572, 582, 584, 589, 593, 595, 597, 599, 601, 603, 605, 607 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:80"
- }
- },
- "cpu.ir_ext_rdy": {
- "hide_name": 0,
- "bits": [ 575 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:81"
- }
- },
- "cpu.ir_imm_s11": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 571, 609, 611, 583, 579, 588, 581, 581, 581, 581, 581, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:72"
- }
- },
- "cpu.ir_imm_s12": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 571, 609, 611, 583, 579, 588, 592, 581, 581, 581, 581, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:73"
- }
- },
- "cpu.ir_imm_s6": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:83"
- }
- },
- "cpu.ir_imm_s6_raw": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 571, 581, 581, 581, 581, 581, 581, 581, 581, 581, 581, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:69"
- }
- },
- "cpu.ir_imm_s7": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 571, 583, 581, 581, 581, 581, 581, 581, 581, 581, 581, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:70"
- }
- },
- "cpu.ir_imm_s9": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 1871, 1883, 1884, 1885, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:84"
- }
- },
- "cpu.ir_imm_s9_raw": {
- "hide_name": 0,
- "bits": [ 632, 624, 626, 615, 571, 579, 588, 592, 581, 581, 581, 581, 581, 581, 581, 581 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:71"
- }
- },
- "cpu.ir_opcode": {
- "hide_name": 0,
- "bits": [ 459, 458, 457, 455 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:78"
- }
- },
- "cpu.ir_valid": {
- "hide_name": 0,
- "bits": [ 1008 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:33"
- }
- },
- "cpu.ir_valid_next": {
- "hide_name": 0,
- "bits": [ 256 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:29"
- }
- },
- "cpu.pc": {
- "hide_name": 0,
- "bits": [ 274, 294, 300, 268, 393, 378, 400, 407, 254, "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "init": 0,
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:31"
- }
- },
- "cpu.regs.adata": {
- "hide_name": 0,
- "bits": [ 71, 78, 84, 89, 97, 115, 132, 155, 351, 347, 339, 335, 222, 211, 233, 244 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:257"
- }
- },
- "cpu.regs.areg": {
- "hide_name": 0,
- "bits": [ 71, 78, 84, 89, 97, 115, 132, 155, 351, 347, 339, 335, 222, 211, 233, 244 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:262"
- }
- },
- "cpu.regs.asel": {
- "hide_name": 0,
- "bits": [ 579, 588, 592 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:252"
- }
- },
- "cpu.regs.bdata": {
- "hide_name": 0,
- "bits": [ 82, 76, 80, 65, 100, 119, 139, 163, 348, 344, 336, 332, 223, 212, 234, 245 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:258"
- }
- },
- "cpu.regs.breg": {
- "hide_name": 0,
- "bits": [ 82, 76, 80, 65, 100, 119, 139, 163, 348, 344, 336, 332, 223, 212, 234, 245 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:263"
- }
- },
- "cpu.regs.clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:251"
- }
- },
- "cpu.regs.rmem[0]": {
- "hide_name": 0,
- "bits": [ 631, 640, 648, 656, 664, 672, 680, 688, 696, 704, 712, 720, 728, 736, 744, 752 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[1]": {
- "hide_name": 0,
- "bits": [ 1421, 1424, 1427, 1430, 1433, 1436, 1439, 1442, 1445, 1448, 1451, 1454, 1457, 1460, 1463, 1466 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[2]": {
- "hide_name": 0,
- "bits": [ 630, 639, 647, 655, 663, 671, 679, 687, 695, 703, 711, 719, 727, 735, 743, 751 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[3]": {
- "hide_name": 0,
- "bits": [ 807, 813, 819, 825, 831, 837, 843, 849, 855, 861, 867, 873, 879, 885, 891, 897 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[4]": {
- "hide_name": 0,
- "bits": [ 629, 638, 646, 654, 662, 670, 678, 686, 694, 702, 710, 718, 726, 734, 742, 750 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[5]": {
- "hide_name": 0,
- "bits": [ 1422, 1425, 1428, 1431, 1434, 1437, 1440, 1443, 1446, 1449, 1452, 1455, 1458, 1461, 1464, 1467 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[6]": {
- "hide_name": 0,
- "bits": [ 628, 637, 645, 653, 661, 669, 677, 685, 693, 701, 709, 717, 725, 733, 741, 749 ],
- "attributes": {
- }
- },
- "cpu.regs.rmem[7]": {
- "hide_name": 0,
- "bits": [ 808, 814, 820, 826, 832, 838, 844, 850, 856, 862, 868, 874, 880, 886, 892, 898 ],
- "attributes": {
- }
- },
- "cpu.regs.wdata": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:256"
- }
- },
- "cpu.regs.wreg": {
- "hide_name": 0,
- "bits": [ 1013 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:255"
- }
- },
- "cpu.regs.wsel": {
- "hide_name": 0,
- "bits": [ 1010, 1012, 1011 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:171|hdl/cpu16.sv:254"
- }
- },
- "cpu.reset": {
- "hide_name": 0,
- "bits": [ 249 ],
- "attributes": {
- "src": "hdl/ice40.v:79|hdl/cpu16.sv:21"
- }
- },
- "cpu_reset": {
- "hide_name": 0,
- "bits": [ 249 ],
- "attributes": {
- "src": "hdl/ice40.v:39"
- }
- },
- "cs1w": {
- "hide_name": 0,
- "bits": [ 504 ],
- "attributes": {
- "src": "hdl/ice40.v:136"
- }
- },
- "dat_rd_req": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "hdl/ice40.v:48"
- }
- },
- "dat_rw_addr": {
- "hide_name": 0,
- "bits": [ 491, 281, 303, 258, 381, 492, 184, 493, 496, 507, 518, 993, 217, 206, 228, 239 ],
- "attributes": {
- "src": "hdl/ice40.v:46"
- }
- },
- "dat_wr_data": {
- "hide_name": 0,
- "bits": [ 82, 76, 80, 65, 100, 119, 139, 163, 348, 344, 336, 332, 223, 212, 234, 245 ],
- "attributes": {
- "src": "hdl/ice40.v:49"
- }
- },
- "dat_wr_req": {
- "hide_name": 0,
- "bits": [ 67 ],
- "attributes": {
- "src": "hdl/ice40.v:50"
- }
- },
- "dbg_waddr": {
- "hide_name": 0,
- "bits": [ 313, 280, 302, 257, 380, 366, 183, 46, 503, 514, 525, 1576, 216, 205, 227, 238 ],
- "attributes": {
- "src": "hdl/ice40.v:98"
- }
- },
- "dbg_wdata": {
- "hide_name": 0,
- "bits": [ 527, 529, 531, 533, 535, 537, 539, 541, 543, 545, 547, 549, 551, 553, 555, 557 ],
- "attributes": {
- "src": "hdl/ice40.v:99"
- }
- },
- "ins_rd_data": {
- "hide_name": 0,
- "bits": [ 1345, 1350, 1355, 1360, 1365, 1370, 1375, 1380, 1385, 1390, 1395, 1400, 1405, 1410, 1415, 1420 ],
- "attributes": {
- "src": "hdl/ice40.v:43"
- }
- },
- "ins_rd_rdy": {
- "hide_name": 0,
- "bits": [ 256 ],
- "attributes": {
- "src": "hdl/ice40.v:60"
- }
- },
- "out1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:19"
- }
- },
- "out2": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:20"
- }
- },
- "pll0.clk12m_in": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "hdl/ice40.v:29|hdl/lattice/pll_12_25.v:2"
- }
- },
- "pll0.clk12m_out": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:29|hdl/lattice/pll_12_25.v:3"
- }
- },
- "pll0.clk25m_out": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:29|hdl/lattice/pll_12_25.v:4"
- }
- },
- "pll0.lock": {
- "hide_name": 0,
- "bits": [ 1689 ],
- "attributes": {
- "src": "hdl/ice40.v:29|hdl/lattice/pll_12_25.v:6",
- "unused_bits": "0"
- }
- },
- "ram0.clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:143|hdl/ice40.v:187"
- }
- },
- "ram0.ra": {
- "hide_name": 0,
- "bits": [ 443, 445, 451, 450, 449, 439, 452, 453, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:143|hdl/ice40.v:198"
- }
- },
- "ram0.waddr": {
- "hide_name": 0,
- "bits": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526, "x", 1886, 1887, 1888, 1889 ],
- "attributes": {
- "src": "hdl/ice40.v:143|hdl/ice40.v:191"
- }
- },
- "ram0.wdata": {
- "hide_name": 0,
- "bits": [ 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558 ],
- "attributes": {
- "src": "hdl/ice40.v:143|hdl/ice40.v:192"
- }
- },
- "ram0.we": {
- "hide_name": 0,
- "bits": [ 1002 ],
- "attributes": {
- "src": "hdl/ice40.v:143|hdl/ice40.v:193"
- }
- },
- "ram1.clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:153|hdl/ice40.v:187"
- }
- },
- "ram1.ra": {
- "hide_name": 0,
- "bits": [ 270, 291, 296, 196, 389, 373, 395, 402, "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "hdl/ice40.v:153|hdl/ice40.v:198"
- }
- },
- "ram1.waddr": {
- "hide_name": 0,
- "bits": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526, "x", 1886, 1887, 1888, 1889 ],
- "attributes": {
- "src": "hdl/ice40.v:153|hdl/ice40.v:191"
- }
- },
- "ram1.wdata": {
- "hide_name": 0,
- "bits": [ 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558 ],
- "attributes": {
- "src": "hdl/ice40.v:153|hdl/ice40.v:192"
- }
- },
- "ram1.we": {
- "hide_name": 0,
- "bits": [ 1003 ],
- "attributes": {
- "src": "hdl/ice40.v:153|hdl/ice40.v:193"
- }
- },
- "sdi.addr": {
- "hide_name": 0,
- "bits": [ 313, 280, 302, 257, 380, 366, 183, 46, 503, 514, 525, 1576, 216, 205, 227, 238 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:73"
- }
- },
- "sdi.addr_next": {
- "hide_name": 0,
- "bits": [ 755, 757, 760, 763, 766, 769, 772, 775, 778, 781, 784, 787, 790, 793, 796, 799 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:77"
- }
- },
- "sdi.data": {
- "hide_name": 0,
- "bits": [ 527, 529, 531, 533, 535, 537, 539, 541, 543, 545, 547, 549, 551, 553, 555, 557 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:74"
- }
- },
- "sdi.data_next": {
- "hide_name": 0,
- "bits": [ 754, 756, 759, 762, 765, 768, 771, 774, 777, 780, 783, 786, 789, 792, 795, 798 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:78"
- }
- },
- "sdi.delay": {
- "hide_name": 0,
- "bits": [ 418, 419, 420, 421, 414, 415, 416, 417, 426, 427, 428, 429, 422, 423, 424, 425 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:83"
- }
- },
- "sdi.delay_next": {
- "hide_name": 0,
- "bits": [ 1594, 1280, 1608, 1610, 1612, 1614, 1616, 1618, 1620, 1622, 1596, 1598, 1600, 1602, 1604, 1606 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:84"
- }
- },
- "sdi.enabled": {
- "hide_name": 0,
- "bits": [ 178 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:72"
- }
- },
- "sdi.enabled_next": {
- "hide_name": 0,
- "bits": [ 413 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:79"
- }
- },
- "sdi.spi_clk": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:7"
- }
- },
- "sdi.spi_count": {
- "hide_name": 0,
- "bits": [ 461, 465, 466, 467 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:19"
- }
- },
- "sdi.spi_cs_i": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:8"
- }
- },
- "sdi.spi_data": {
- "hide_name": 0,
- "bits": [ 754, 756, 759, 762, 765, 768, 771, 774, 777, 780, 783, 786, 789, 792, 795, 798, 471 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:18"
- }
- },
- "sdi.spi_data_i": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:9"
- }
- },
- "sdi.spi_data_next": {
- "hide_name": 0,
- "bits": [ 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 8, 1671 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:28"
- }
- },
- "sdi.spi_flag": {
- "hide_name": 0,
- "bits": [ 1671 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:21"
- }
- },
- "sdi.spi_flag_next": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:31"
- }
- },
- "sdi.spi_next": {
- "hide_name": 0,
- "bits": [ 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 8 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:25"
- }
- },
- "sdi.spi_shift": {
- "hide_name": 0,
- "bits": [ "x", 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686 ],
- "attributes": {
- "init": 0,
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:17"
- }
- },
- "sdi.spi_shift_next": {
- "hide_name": 0,
- "bits": [ "x", 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 8 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:27"
- }
- },
- "sdi.spi_signal": {
- "hide_name": 0,
- "bits": [ 1286 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:20"
- }
- },
- "sdi.spi_signal_next": {
- "hide_name": 0,
- "bits": [ 1287 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:30"
- }
- },
- "sdi.sync_spi_sys.a": {
- "hide_name": 0,
- "bits": [ 1688 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:142"
- }
- },
- "sdi.sync_spi_sys.b": {
- "hide_name": 0,
- "bits": [ 1687 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:145"
- }
- },
- "sdi.sync_spi_sys.c": {
- "hide_name": 0,
- "bits": [ 474 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:145"
- }
- },
- "sdi.sync_spi_sys.rxclk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:138"
- }
- },
- "sdi.sync_spi_sys.rxdat": {
- "hide_name": 0,
- "bits": [ 474 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:139"
- }
- },
- "sdi.sync_spi_sys.txclk": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:136"
- }
- },
- "sdi.sync_spi_sys.txdat": {
- "hide_name": 0,
- "bits": [ 1286 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:64|hdl/spi_debug_ifc.v:137"
- }
- },
- "sdi.sys_clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:11"
- }
- },
- "sdi.sys_signal": {
- "hide_name": 0,
- "bits": [ 474 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:62"
- }
- },
- "sdi.sys_signal_ack": {
- "hide_name": 0,
- "bits": [ 473 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:71"
- }
- },
- "sdi.sys_signal_ack_next": {
- "hide_name": 0,
- "bits": [ 1285 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:80"
- }
- },
- "sdi.sys_waddr_o": {
- "hide_name": 0,
- "bits": [ 313, 280, 302, 257, 380, 366, 183, 46, 503, 514, 525, 1576, 216, 205, 227, 238 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:13"
- }
- },
- "sdi.sys_wdata_o": {
- "hide_name": 0,
- "bits": [ 527, 529, 531, 533, 535, 537, 539, 541, 543, 545, 547, 549, 551, 553, 555, 557 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:14"
- }
- },
- "sdi.wr": {
- "hide_name": 0,
- "bits": [ 177 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:75"
- }
- },
- "sdi.wr_next": {
- "hide_name": 0,
- "bits": [ 470 ],
- "attributes": {
- "src": "hdl/ice40.v:102|hdl/spi_debug_ifc.v:81"
- }
- },
- "spi_clk": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "hdl/ice40.v:17"
- }
- },
- "spi_cs": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "hdl/ice40.v:18"
- }
- },
- "spi_miso": {
- "hide_name": 0,
- "bits": [ "0" ],
- "attributes": {
- "src": "hdl/ice40.v:16"
- }
- },
- "spi_mosi": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "hdl/ice40.v:15"
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:37"
- }
- },
- "vb": {
- "hide_name": 0,
- "bits": [ 485, 485 ],
- "attributes": {
- "src": "hdl/ice40.v:163"
- }
- },
- "vg": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:163"
- }
- },
- "vga.advance": {
- "hide_name": 0,
- "bits": [ 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:23"
- }
- },
- "vga.b": {
- "hide_name": 0,
- "bits": [ 485, 485, 485, 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:20"
- }
- },
- "vga.blu": {
- "hide_name": 0,
- "bits": [ 485, 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:8"
- }
- },
- "vga.clk25m": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:5"
- }
- },
- "vga.g": {
- "hide_name": 0,
- "bits": [ 4, 4, 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:19"
- }
- },
- "vga.grn": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:7"
- }
- },
- "vga.hs": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:9"
- }
- },
- "vga.line": {
- "hide_name": 0,
- "bits": [ 900, 902, 904, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:24"
- }
- },
- "vga.newline": {
- "hide_name": 0,
- "bits": [ 487 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:22"
- }
- },
- "vga.pixel": {
- "hide_name": 0,
- "bits": [ "1", "1", "1", "1", 559, 559, 559, 559, 559, 559, 559, 559 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:25"
- }
- },
- "vga.pixeldata0.advance": {
- "hide_name": 0,
- "bits": [ 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:17"
- }
- },
- "vga.pixeldata0.cdata": {
- "hide_name": 0,
- "bits": [ 952, 955, 960, 965, 970, 975, 980, 985 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:54"
- }
- },
- "vga.pixeldata0.clk": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:15"
- }
- },
- "vga.pixeldata0.line": {
- "hide_name": 0,
- "bits": [ 900, 902, 904, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:18"
- }
- },
- "vga.pixeldata0.load": {
- "hide_name": 0,
- "bits": [ 484 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:37"
- }
- },
- "vga.pixeldata0.newline": {
- "hide_name": 0,
- "bits": [ 487 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:16"
- }
- },
- "vga.pixeldata0.next_pattern": {
- "hide_name": 0,
- "bits": [ "x", 953, 956, 958, 961, 963, 966, 968, 971, 973, 976, 978, 981, 983, 986, 988 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:35"
- }
- },
- "vga.pixeldata0.next_xpos": {
- "hide_name": 0,
- "bits": [ 931, 934, 938, 942, 946, 950 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:33"
- }
- },
- "vga.pixeldata0.pattern": {
- "hide_name": 0,
- "bits": [ 951, 954, 957, 959, 962, 964, 967, 969, 972, 974, 977, 979, 982, 984, 987, 559 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:40"
- }
- },
- "vga.pixeldata0.pattern_addr": {
- "hide_name": 0,
- "bits": [ 900, 902, 904, 1690, 1691, 1692, 1693, 1694, 1695, 1696 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:49"
- }
- },
- "vga.pixeldata0.pixel": {
- "hide_name": 0,
- "bits": [ "1", "1", "1", "1", 559, 559, 559, 559, 559, 559, 559, 559 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:19"
- }
- },
- "vga.pixeldata0.ppos": {
- "hide_name": 0,
- "bits": [ 489, 928, 929, 930 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:39"
- }
- },
- "vga.pixeldata0.vram_addr": {
- "hide_name": 0,
- "bits": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:21"
- }
- },
- "vga.pixeldata0.vram_data": {
- "hide_name": 0,
- "bits": [ 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1773 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:20"
- }
- },
- "vga.pixeldata0.xpos": {
- "hide_name": 0,
- "bits": [ 923, 932, 935, 939, 943, 947 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:48|hdl/vga/chardata.v:38"
- }
- },
- "vga.r": {
- "hide_name": 0,
- "bits": [ 4, 4, 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:18"
- }
- },
- "vga.red": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:6"
- }
- },
- "vga.vga0.active": {
- "hide_name": 0,
- "bits": [ 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:29"
- }
- },
- "vga.vga0.adjusted_vcount": {
- "hide_name": 0,
- "bits": [ "x", 900, 902, 904, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- }
- },
- "vga.vga0.advance": {
- "hide_name": 0,
- "bits": [ 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:21"
- }
- },
- "vga.vga0.b": {
- "hide_name": 0,
- "bits": [ 485, 485, 485, 485 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:18"
- }
- },
- "vga.vga0.clk": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:12"
- }
- },
- "vga.vga0.g": {
- "hide_name": 0,
- "bits": [ 4, 4, 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:17"
- }
- },
- "vga.vga0.hcount": {
- "hide_name": 0,
- "bits": [ 28, 29, 38, 37, 30, 32, 33, 36, 39, 35 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:31"
- }
- },
- "vga.vga0.hs": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:13"
- }
- },
- "vga.vga0.hsync": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:26"
- }
- },
- "vga.vga0.line": {
- "hide_name": 0,
- "bits": [ 900, 902, 904, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:22"
- }
- },
- "vga.vga0.newline": {
- "hide_name": 0,
- "bits": [ 487 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:20"
- }
- },
- "vga.vga0.next_hsync": {
- "hide_name": 0,
- "bits": [ 1252 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:34"
- }
- },
- "vga.vga0.next_startline": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:38"
- }
- },
- "vga.vga0.next_vcount": {
- "hide_name": 0,
- "bits": [ "x", 900, 902, 904, 906, 1278, 910, 912, 914, "x" ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:40"
- }
- },
- "vga.vga0.next_vsync": {
- "hide_name": 0,
- "bits": [ 1252 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:35"
- }
- },
- "vga.vga0.pixel": {
- "hide_name": 0,
- "bits": [ "1", "1", "1", "1", 559, 559, 559, 559, 559, 559, 559, 559 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:23"
- }
- },
- "vga.vga0.r": {
- "hide_name": 0,
- "bits": [ 4, 4, 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:16"
- }
- },
- "vga.vga0.startline": {
- "hide_name": 0,
- "bits": [ 487 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:30"
- }
- },
- "vga.vga0.vcount": {
- "hide_name": 0,
- "bits": [ 24, 26, 17, 25, 20, 21, 22, 23, 18, 15 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:32"
- }
- },
- "vga.vga0.vs": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:14"
- }
- },
- "vga.vga0.vsync": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:27|hdl/vga/vga.v:27"
- }
- },
- "vga.vram.data": {
- "hide_name": 0,
- "bits": [ 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1773 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:18"
- }
- },
- "vga.vram.raddr": {
- "hide_name": 0,
- "bits": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:13"
- }
- },
- "vga.vram.rclk": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:12"
- }
- },
- "vga.vram.rdata": {
- "hide_name": 0,
- "bits": [ 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1773 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:14"
- }
- },
- "vga.vram.waddr": {
- "hide_name": 0,
- "bits": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:10"
- }
- },
- "vga.vram.wclk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:9"
- }
- },
- "vga.vram.wdata": {
- "hide_name": 0,
- "bits": [ 528, 530, 532, 534, 536, 538, 540, 542 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:11"
- }
- },
- "vga.vram.we": {
- "hide_name": 0,
- "bits": [ 1006 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:58|hdl/vga/videoram.v:9"
- }
- },
- "vga.vram_clk": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:12"
- }
- },
- "vga.vram_raddr": {
- "hide_name": 0,
- "bits": [ 931, 934, 938, 942, 946, 950, 906, 908, 999, 1660, 1662 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:45"
- }
- },
- "vga.vram_rdata": {
- "hide_name": 0,
- "bits": [ 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1773 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:46"
- }
- },
- "vga.vram_waddr": {
- "hide_name": 0,
- "bits": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:13"
- }
- },
- "vga.vram_wdata": {
- "hide_name": 0,
- "bits": [ 528, 530, 532, 534, 536, 538, 540, 542 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:14"
- }
- },
- "vga.vram_we": {
- "hide_name": 0,
- "bits": [ 1006 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:15"
- }
- },
- "vga.vs": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hdl/ice40.v:165|hdl/vga/vga40x30x2.v:10"
- }
- },
- "vga_b": {
- "hide_name": 0,
- "bits": [ 5, 5 ],
- "attributes": {
- "src": "hdl/ice40.v:12"
- }
- },
- "vga_g": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:11"
- }
- },
- "vga_hsync": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "hdl/ice40.v:13"
- }
- },
- "vga_r": {
- "hide_name": 0,
- "bits": [ 3, 3 ],
- "attributes": {
- "src": "hdl/ice40.v:10"
- }
- },
- "vga_vsync": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "hdl/ice40.v:14"
- }
- },
- "vr": {
- "hide_name": 0,
- "bits": [ 4, 4 ],
- "attributes": {
- "src": "hdl/ice40.v:163"
- }
- },
- "waddr": {
- "hide_name": 0,
- "bits": [ 192, 276, 279, 198, 362, 360, 179, 40, 504, 515, 526, "x", 1886, 1887, 1888, 1889 ],
- "attributes": {
- "src": "hdl/ice40.v:115"
- }
- },
- "wdata": {
- "hide_name": 0,
- "bits": [ 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558 ],
- "attributes": {
- "src": "hdl/ice40.v:116"
- }
- }
- }
- }
- }
-}