aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0121/serv_0.json
diff options
context:
space:
mode:
Diffstat (limited to 'ice40/regressions/issue0121/serv_0.json')
-rw-r--r--ice40/regressions/issue0121/serv_0.json48290
1 files changed, 0 insertions, 48290 deletions
diff --git a/ice40/regressions/issue0121/serv_0.json b/ice40/regressions/issue0121/serv_0.json
deleted file mode 100644
index 5e9a4d1..0000000
--- a/ice40/regressions/issue0121/serv_0.json
+++ /dev/null
@@ -1,48290 +0,0 @@
-{
- "creator": "Yosys 0.8+17 (git sha1 11c8a9eb, gcc 7.3.0-r3 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "serv_wrapper": {
- "attributes": {
- "top": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:2"
- },
- "ports": {
- "wb_clk": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "q": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 5 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 6 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 7 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 9 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 10 ],
- "I1": [ 11 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 7 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 13 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 11 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 14 ],
- "I1": [ 15 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 12 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 16 ],
- "I1": [ 17 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 14 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62464
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 18 ],
- "I1": [ 19 ],
- "I2": [ 20 ],
- "I3": [ 21 ],
- "O": [ 22 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 23 ],
- "I1": [ 24 ],
- "I2": [ 25 ],
- "I3": [ 26 ],
- "O": [ 18 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62464
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 27 ],
- "I1": [ 28 ],
- "I2": [ 29 ],
- "I3": [ 30 ],
- "O": [ 24 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62963
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ 32 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 35 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21503
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ 37 ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 38 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 40 ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 41 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 43 ],
- "I2": [ 44 ],
- "I3": [ "0" ],
- "O": [ 45 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 47 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 44 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 49 ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 43 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62783
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 51 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 52 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 53 ],
- "I2": [ 54 ],
- "I3": [ 55 ],
- "O": [ 56 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 24563
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 58 ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 54 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62783
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 53 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 61 ],
- "I2": [ 62 ],
- "I3": [ 55 ],
- "O": [ 63 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21503
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 62 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62963
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 67 ],
- "I2": [ 34 ],
- "I3": [ 33 ],
- "O": [ 61 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 69 ],
- "I2": [ 70 ],
- "I3": [ 27 ],
- "O": [ 29 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 71 ],
- "I1": [ 72 ],
- "I2": [ 73 ],
- "I3": [ 74 ],
- "O": [ 69 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 77 ],
- "I3": [ "0" ],
- "O": [ 73 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 40 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 76 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 36 ],
- "I1": [ 32 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 75 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7936
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ 82 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 74 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 6
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 55 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 83 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 31 ],
- "I1": [ 37 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 81 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 39 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 82 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 87 ],
- "I2": [ 77 ],
- "I3": [ "0" ],
- "O": [ 71 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 88 ],
- "I1": [ 89 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 87 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 773
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 91 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 86 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 92 ],
- "I1": [ 93 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 72 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 94 ],
- "I1": [ 95 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 92 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 96 ],
- "I1": [ 97 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 93 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 99 ],
- "I2": [ 100 ],
- "I3": [ 101 ],
- "O": [ 68 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 103 ],
- "I2": [ 77 ],
- "I3": [ "0" ],
- "O": [ 100 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 105 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 103 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 58 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 102 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7936
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 106 ],
- "I1": [ 107 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 101 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ 60 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 106 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 51 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 107 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 108 ],
- "I1": [ 109 ],
- "I2": [ 77 ],
- "I3": [ "0" ],
- "O": [ 98 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 67 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 109 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 47 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 108 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 110 ],
- "I1": [ 111 ],
- "I2": [ 77 ],
- "I3": [ 83 ],
- "O": [ 99 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 65 ],
- "I2": [ 80 ],
- "I3": [ 79 ],
- "O": [ 110 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 49 ],
- "I2": [ 79 ],
- "I3": [ 80 ],
- "O": [ 111 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 27 ],
- "I1": [ 112 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 23 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 113 ],
- "I1": [ 94 ],
- "I2": [ 114 ],
- "I3": [ "0" ],
- "O": [ 26 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ 118 ],
- "O": [ 114 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 120 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 118 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 30 ],
- "I2": [ 113 ],
- "I3": [ "0" ],
- "O": [ 25 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 24 ],
- "I1": [ 121 ],
- "I2": [ 114 ],
- "I3": [ 122 ],
- "O": [ 19 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 123 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 122 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 124 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 123 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 116 ],
- "I1": [ 118 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ 94 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 121 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 20 ],
- "I1": [ 125 ],
- "I2": [ 126 ],
- "I3": [ 17 ],
- "O": [ 21 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 95 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 128 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 88 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 129 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 96 ],
- "I1": [ 94 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 130 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 89 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 131 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 31 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 132 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 32 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 133 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 55 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 134 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 37 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 135 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 36 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 136 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 65 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 137 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 67 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 138 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 34 ],
- "I1": [ 127 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 139 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 49 ],
- "I1": [ 66 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 140 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 59 ],
- "I2": [ 127 ],
- "I3": [ 34 ],
- "O": [ 141 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 58 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 142 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 143 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 17 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 124 ],
- "I1": [ 115 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 143 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 120 ],
- "I1": [ 144 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 126 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 119 ],
- "I2": [ 117 ],
- "I3": [ 116 ],
- "O": [ 144 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 116 ],
- "I1": [ 120 ],
- "I2": [ 119 ],
- "I3": [ 115 ],
- "O": [ 20 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 120 ],
- "I1": [ 144 ],
- "I2": [ 145 ],
- "I3": [ "0" ],
- "O": [ 16 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 30 ],
- "I1": [ 28 ],
- "I2": [ 121 ],
- "I3": [ 146 ],
- "O": [ 145 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 17 ],
- "I1": [ 122 ],
- "I2": [ 126 ],
- "I3": [ "0" ],
- "O": [ 146 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 112 ],
- "I1": [ 28 ],
- "I2": [ 58 ],
- "I3": [ 30 ],
- "O": [ 147 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 17 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 15 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 150 ],
- "I2": [ 151 ],
- "I3": [ 152 ],
- "O": [ 153 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 155 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 152 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 157 ],
- "I2": [ 151 ],
- "I3": [ 158 ],
- "O": [ 159 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 155 ],
- "I1": [ 154 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 158 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 160 ],
- "I1": [ 161 ],
- "I2": [ 155 ],
- "I3": [ 154 ],
- "O": [ 162 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 163 ],
- "I1": [ 164 ],
- "I2": [ 154 ],
- "I3": [ 155 ],
- "O": [ 165 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 167 ],
- "I2": [ 151 ],
- "I3": [ 152 ],
- "O": [ 168 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 170 ],
- "I2": [ 151 ],
- "I3": [ 158 ],
- "O": [ 171 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 172 ],
- "I1": [ 173 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 13 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 175 ],
- "I2": [ 176 ],
- "I3": [ 177 ],
- "O": [ 178 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65024
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 180 ],
- "I2": [ 176 ],
- "I3": [ 181 ],
- "O": [ 177 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 182 ],
- "I1": [ 183 ],
- "I2": [ 184 ],
- "I3": [ 185 ],
- "O": [ 179 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 187 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 180 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 189 ],
- "I2": [ 184 ],
- "I3": [ 185 ],
- "O": [ 174 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 191 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 175 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 192 ],
- "I1": [ 161 ],
- "I2": [ 184 ],
- "I3": [ 185 ],
- "O": [ 193 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 194 ],
- "I1": [ 160 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 195 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 196 ],
- "I1": [ 164 ],
- "I2": [ 184 ],
- "I3": [ 185 ],
- "O": [ 197 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 163 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 199 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 181 ],
- "I2": [ 200 ],
- "I3": [ "0" ],
- "O": [ 172 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 184 ],
- "I2": [ 201 ],
- "I3": [ "0" ],
- "O": [ 200 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 5 ],
- "I2": [ 202 ],
- "I3": [ "0" ],
- "O": [ 8 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 204 ],
- "I2": [ 205 ],
- "I3": [ 206 ],
- "O": [ 207 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 210 ],
- "I3": [ "0" ],
- "O": [ 203 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 211 ],
- "I1": [ 212 ],
- "I2": [ 213 ],
- "I3": [ "0" ],
- "O": [ 210 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 215 ],
- "I2": [ 216 ],
- "I3": [ 217 ],
- "O": [ 213 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 219 ],
- "I2": [ 220 ],
- "I3": [ "0" ],
- "O": [ 204 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 221 ],
- "I1": [ 222 ],
- "I2": [ 223 ],
- "I3": [ 224 ],
- "O": [ 218 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 225 ],
- "I1": [ 226 ],
- "I2": [ 227 ],
- "I3": [ 228 ],
- "O": [ 221 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 229 ],
- "I1": [ 230 ],
- "I2": [ 231 ],
- "I3": [ 232 ],
- "O": [ 219 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 233 ],
- "I1": [ 234 ],
- "I2": [ 235 ],
- "I3": [ 236 ],
- "O": [ 220 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 238 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 205 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 239 ],
- "I1": [ 240 ],
- "I2": [ 241 ],
- "I3": [ 242 ],
- "O": [ 206 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 243 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 244 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 202 ],
- "I2": [ 5 ],
- "I3": [ "0" ],
- "O": [ 243 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 245 ],
- "I1": [ 246 ],
- "I2": [ 247 ],
- "I3": [ "0" ],
- "O": [ 248 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 249 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 245 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 116 ],
- "I1": [ 250 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 249 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 117 ],
- "I2": [ 118 ],
- "I3": [ "0" ],
- "O": [ 250 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 250 ],
- "I1": [ 202 ],
- "I2": [ 6 ],
- "I3": [ "0" ],
- "O": [ 251 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 251 ],
- "I1": [ 252 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 253 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 254 ],
- "I1": [ 243 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 255 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 256 ],
- "I1": [ 8 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 257 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 258 ],
- "I1": [ 256 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 259 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 260 ],
- "I1": [ 249 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 261 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 262 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 260 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 263 ],
- "I1": [ 264 ],
- "I2": [ 265 ],
- "I3": [ "0" ],
- "O": [ 262 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 268 ],
- "I3": [ 269 ],
- "O": [ 265 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 271 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 269 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 272 ],
- "I2": [ 273 ],
- "I3": [ 228 ],
- "O": [ 271 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 274 ],
- "I1": [ 210 ],
- "I2": [ 275 ],
- "I3": [ "0" ],
- "O": [ 273 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 246 ],
- "I1": [ 247 ],
- "I2": [ 276 ],
- "I3": [ 277 ],
- "O": [ 274 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 240 ],
- "I1": [ 239 ],
- "I2": [ 205 ],
- "I3": [ 278 ],
- "O": [ 276 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 236 ],
- "I1": [ 229 ],
- "I2": [ 230 ],
- "I3": [ 231 ],
- "O": [ 278 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 225 ],
- "I2": [ 226 ],
- "I3": [ 227 ],
- "O": [ 277 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 242 ],
- "I1": [ 233 ],
- "I2": [ 234 ],
- "I3": [ 235 ],
- "O": [ 275 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 209 ],
- "I2": [ 279 ],
- "I3": [ "0" ],
- "O": [ 272 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 223 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 279 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 272 ],
- "I2": [ 241 ],
- "I3": [ 273 ],
- "O": [ 267 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 281 ],
- "I2": [ 282 ],
- "I3": [ 283 ],
- "O": [ 268 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 284 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 283 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 228 ],
- "I2": [ 277 ],
- "I3": [ 279 ],
- "O": [ 284 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 271 ],
- "I1": [ 267 ],
- "I2": [ 283 ],
- "I3": [ "0" ],
- "O": [ 264 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4351
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 285 ],
- "I1": [ 286 ],
- "I2": [ 256 ],
- "I3": [ 260 ],
- "O": [ 287 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 123 ],
- "I2": [ 202 ],
- "I3": [ "0" ],
- "O": [ 285 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 202 ],
- "I2": [ 5 ],
- "I3": [ "0" ],
- "O": [ 286 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 288 ],
- "I1": [ 289 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 290 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 291 ],
- "I1": [ 292 ],
- "I2": [ 293 ],
- "I3": [ 6 ],
- "O": [ 288 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 294 ],
- "I2": [ 286 ],
- "I3": [ "0" ],
- "O": [ 293 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 292 ],
- "I1": [ 291 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 294 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ 115 ],
- "O": [ 292 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 296 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 291 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 294 ],
- "I1": [ 58 ],
- "I2": [ 298 ],
- "I3": [ 32 ],
- "O": [ 289 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 67 ],
- "I2": [ 89 ],
- "I3": [ 299 ],
- "O": [ 298 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 300 ],
- "I1": [ 301 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 299 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 37 ],
- "I2": [ 95 ],
- "I3": [ 66 ],
- "O": [ 300 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 94 ],
- "I2": [ 59 ],
- "I3": [ 31 ],
- "O": [ 301 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ 286 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 302 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 281 ],
- "I2": [ 303 ],
- "I3": [ 280 ],
- "O": [ 304 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 6 ],
- "I1": [ 305 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 306 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 306 ],
- "I1": [ 304 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 307 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 8 ],
- "I1": [ 308 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 309 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 310 ],
- "I1": [ 308 ],
- "I2": [ 311 ],
- "I3": [ "0" ],
- "O": [ 312 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 313 ],
- "I1": [ 314 ],
- "I2": [ 315 ],
- "I3": [ "0" ],
- "O": [ 310 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 312 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 316 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 317 ],
- "I1": [ 318 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 319 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 321 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 317 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 320 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 322 ],
- "I1": [ 323 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 318 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 324 ],
- "I1": [ 325 ],
- "I2": [ 326 ],
- "I3": [ 327 ],
- "O": [ 322 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ 329 ],
- "I2": [ 330 ],
- "I3": [ "0" ],
- "O": [ 326 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ 332 ],
- "I2": [ 303 ],
- "I3": [ "0" ],
- "O": [ 328 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 333 ],
- "I1": [ 334 ],
- "I2": [ 335 ],
- "I3": [ 336 ],
- "O": [ 329 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 337 ],
- "I1": [ 338 ],
- "I2": [ 339 ],
- "I3": [ 340 ],
- "O": [ 330 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 341 ],
- "I1": [ 342 ],
- "I2": [ 343 ],
- "I3": [ 344 ],
- "O": [ 327 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 345 ],
- "I1": [ 346 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 347 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4104
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 323 ],
- "I2": [ 321 ],
- "I3": [ 322 ],
- "O": [ 345 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 348 ],
- "I1": [ 349 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 350 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14848
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 295 ],
- "I2": [ 297 ],
- "I3": [ 143 ],
- "O": [ 348 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 114 ],
- "I2": [ 144 ],
- "I3": [ 351 ],
- "O": [ 349 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 352 ],
- "I1": [ 353 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 354 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 355 ],
- "I1": [ 356 ],
- "I2": [ 357 ],
- "I3": [ "0" ],
- "O": [ 352 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 125
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 114 ],
- "I1": [ 358 ],
- "I2": [ 296 ],
- "I3": [ 144 ],
- "O": [ 356 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52421
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 359 ],
- "I1": [ 360 ],
- "I2": [ 295 ],
- "I3": [ 297 ],
- "O": [ 358 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 361 ],
- "I1": [ 362 ],
- "I2": [ 363 ],
- "I3": [ "0" ],
- "O": [ 360 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 364 ],
- "I1": [ 365 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 357 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 366 ],
- "I1": [ 84 ],
- "I2": [ 55 ],
- "I3": [ "0" ],
- "O": [ 353 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 34 ],
- "I2": [ 127 ],
- "I3": [ "0" ],
- "O": [ 366 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61951
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 367 ],
- "I2": [ 354 ],
- "I3": [ 368 ],
- "O": [ 369 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 262 ],
- "I1": [ 350 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 367 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47887
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 8 ],
- "I2": [ 6 ],
- "I3": [ 353 ],
- "O": [ 368 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 247 ],
- "I1": [ 246 ],
- "I2": [ 245 ],
- "I3": [ "0" ],
- "O": [ 370 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 371 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 372 ],
- "I1": [ 373 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 375 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 322 ],
- "I1": [ 320 ],
- "I2": [ 282 ],
- "I3": [ 280 ],
- "O": [ 374 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 376 ],
- "I1": [ 377 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 378 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 379 ],
- "I1": [ 380 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 381 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 382 ],
- "I1": [ 383 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 384 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 385 ],
- "I1": [ 386 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 387 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 388 ],
- "I1": [ 389 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 390 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 391 ],
- "I1": [ 392 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 393 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 394 ],
- "I1": [ 395 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 396 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 397 ],
- "I1": [ 398 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 399 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 400 ],
- "I1": [ 401 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 402 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 403 ],
- "I1": [ 404 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 405 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 406 ],
- "I1": [ 407 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 408 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 410 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 411 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 412 ],
- "I1": [ 413 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 414 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 415 ],
- "I1": [ 416 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 417 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 418 ],
- "I1": [ 419 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 420 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 421 ],
- "I1": [ 422 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 423 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 424 ],
- "I1": [ 425 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 426 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 428 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 429 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ 431 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 432 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 433 ],
- "I1": [ 434 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 435 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 436 ],
- "I1": [ 437 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 438 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 439 ],
- "I1": [ 440 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 441 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 442 ],
- "I1": [ 443 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 444 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 445 ],
- "I1": [ 446 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 447 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 449 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 450 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 451 ],
- "I1": [ 452 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 453 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 454 ],
- "I1": [ 455 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 456 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 457 ],
- "I1": [ 458 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 459 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 460 ],
- "I1": [ 461 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 462 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 464 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 465 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 466 ],
- "I1": [ 467 ],
- "I2": [ 374 ],
- "I3": [ "0" ],
- "O": [ 468 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65456
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 469 ],
- "I1": [ 470 ],
- "I2": [ 471 ],
- "I3": [ 472 ],
- "O": [ 473 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 26880
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 474 ],
- "I1": [ 13 ],
- "I2": [ 475 ],
- "I3": [ 291 ],
- "O": [ 469 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48705
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 476 ],
- "I1": [ 477 ],
- "I2": [ 478 ],
- "I3": [ 12 ],
- "O": [ 474 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 479 ],
- "I1": [ 124 ],
- "I2": [ 480 ],
- "I3": [ "0" ],
- "O": [ 476 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 296 ],
- "I2": [ 114 ],
- "I3": [ 295 ],
- "O": [ 480 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 115 ],
- "I2": [ 481 ],
- "I3": [ "0" ],
- "O": [ 479 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 482 ],
- "I1": [ 243 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 477 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 8 ],
- "I1": [ 360 ],
- "I2": [ 483 ],
- "I3": [ "0" ],
- "O": [ 484 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 486 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 487 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 488 ],
- "I1": [ 489 ],
- "I2": [ 315 ],
- "I3": [ 314 ],
- "O": [ 490 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 491 ],
- "I1": [ 492 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 493 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 495 ],
- "I2": [ 315 ],
- "I3": [ 314 ],
- "O": [ 496 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 497 ],
- "I1": [ 498 ],
- "I2": [ 314 ],
- "I3": [ "0" ],
- "O": [ 499 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 501 ],
- "I2": [ 314 ],
- "I3": [ "0" ],
- "O": [ 502 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 504 ],
- "I2": [ 315 ],
- "I3": [ 314 ],
- "O": [ 505 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 506 ],
- "I1": [ 507 ],
- "I2": [ 315 ],
- "I3": [ 314 ],
- "O": [ 508 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45067
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 510 ],
- "I2": [ 295 ],
- "I3": [ 511 ],
- "O": [ 512 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 513 ],
- "I1": [ 514 ],
- "I2": [ 515 ],
- "I3": [ 516 ],
- "O": [ 510 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 517 ],
- "I1": [ 518 ],
- "I2": [ 519 ],
- "I3": [ 520 ],
- "O": [ 472 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3585
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ 522 ],
- "I2": [ 305 ],
- "I3": [ 523 ],
- "O": [ 518 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 13 ],
- "I2": [ 525 ],
- "I3": [ 126 ],
- "O": [ 521 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 13 ],
- "I2": [ 126 ],
- "I3": [ 525 ],
- "O": [ 522 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 526 ],
- "I1": [ 20 ],
- "I2": [ 144 ],
- "I3": [ "0" ],
- "O": [ 520 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 292 ],
- "I2": [ 519 ],
- "I3": [ 144 ],
- "O": [ 526 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 20 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 519 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 46155
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 527 ],
- "I1": [ 528 ],
- "I2": [ 524 ],
- "I3": [ 529 ],
- "O": [ 517 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 530 ],
- "I1": [ 520 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 471 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 531 ],
- "I1": [ 525 ],
- "I2": [ 143 ],
- "I3": [ 20 ],
- "O": [ 530 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 532 ],
- "I1": [ 533 ],
- "I2": [ 526 ],
- "I3": [ "0" ],
- "O": [ 531 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61678
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 534 ],
- "I1": [ 535 ],
- "I2": [ 536 ],
- "I3": [ 537 ],
- "O": [ 533 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 538 ],
- "I1": [ 539 ],
- "I2": [ 540 ],
- "I3": [ 541 ],
- "O": [ 535 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 542 ],
- "I1": [ 543 ],
- "I2": [ 289 ],
- "I3": [ 539 ],
- "O": [ 541 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3959
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 298 ],
- "I1": [ 58 ],
- "I2": [ 294 ],
- "I3": [ 32 ],
- "O": [ 539 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 32 ],
- "I2": [ 298 ],
- "I3": [ "0" ],
- "O": [ 540 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 540 ],
- "I1": [ 544 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 534 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 32 ],
- "I1": [ 294 ],
- "I2": [ 298 ],
- "I3": [ "0" ],
- "O": [ 537 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4027
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 545 ],
- "I2": [ 546 ],
- "I3": [ 547 ],
- "O": [ 532 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51964
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 548 ],
- "I1": [ 549 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 547 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$13999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 55 ],
- "I2": [ 550 ],
- "I3": [ 551 ],
- "O": [ 549 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 127 ],
- "I2": [ 34 ],
- "I3": [ "0" ],
- "O": [ 550 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48896
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 134 ],
- "I2": [ 552 ],
- "I3": [ 553 ],
- "O": [ 548 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 34 ],
- "I1": [ 127 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 552 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 554 ],
- "I1": [ 555 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 556 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 557 ],
- "I1": [ 558 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 555 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 560 ],
- "I1": [ 561 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 562 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 563 ],
- "I1": [ 564 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 561 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 565 ],
- "I1": [ 566 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 568 ],
- "I1": [ 569 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 566 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 570 ],
- "I1": [ 571 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 572 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 573 ],
- "I1": [ 574 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 571 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 576 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 577 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 579 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 576 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 580 ],
- "I1": [ 581 ],
- "I2": [ 264 ],
- "I3": [ 582 ],
- "O": [ 579 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 409 ],
- "I1": [ 410 ],
- "I2": [ 374 ],
- "I3": [ 283 ],
- "O": [ 582 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 583 ],
- "I1": [ 584 ],
- "I2": [ 247 ],
- "I3": [ 246 ],
- "O": [ 580 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 585 ],
- "I1": [ 586 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 581 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 587 ],
- "I1": [ 588 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 589 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 590 ],
- "I1": [ 591 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 588 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 593 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 594 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 595 ],
- "I1": [ 596 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 593 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 597 ],
- "I1": [ 598 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 599 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44236
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 600 ],
- "I1": [ 601 ],
- "I2": [ 559 ],
- "I3": [ 296 ],
- "O": [ 598 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 602 ],
- "I1": [ 603 ],
- "I2": [ 264 ],
- "I3": [ 604 ],
- "O": [ 601 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 418 ],
- "I1": [ 419 ],
- "I2": [ 374 ],
- "I3": [ 283 ],
- "O": [ 604 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 606 ],
- "I2": [ 247 ],
- "I3": [ 246 ],
- "O": [ 602 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 607 ],
- "I1": [ 608 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 603 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 609 ],
- "I1": [ 610 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 612 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 559 ],
- "I1": [ 297 ],
- "I2": [ 613 ],
- "I3": [ "0" ],
- "O": [ 614 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 615 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 613 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61696
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 615 ],
- "I1": [ 297 ],
- "I2": [ 296 ],
- "I3": [ 559 ],
- "O": [ 616 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 557 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 609 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 559 ],
- "I2": [ 613 ],
- "I3": [ 244 ],
- "O": [ 611 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 618 ],
- "I1": [ 619 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 620 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 621 ],
- "I1": [ 563 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 618 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 622 ],
- "I1": [ 623 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 624 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ 568 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 622 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 626 ],
- "I1": [ 627 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 628 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 573 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 626 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4367
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 630 ],
- "I1": [ 631 ],
- "I2": [ 632 ],
- "I3": [ 611 ],
- "O": [ 633 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 634 ],
- "I1": [ 616 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 631 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 635 ],
- "I1": [ 579 ],
- "I2": [ 616 ],
- "I3": [ 614 ],
- "O": [ 630 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 636 ],
- "I1": [ 637 ],
- "I2": [ 264 ],
- "I3": [ 638 ],
- "O": [ 635 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 385 ],
- "I1": [ 386 ],
- "I2": [ 374 ],
- "I3": [ 283 ],
- "O": [ 638 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ 640 ],
- "I2": [ 247 ],
- "I3": [ 246 ],
- "O": [ 636 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ 642 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 637 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 643 ],
- "I1": [ 578 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 632 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 644 ],
- "I1": [ 645 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 646 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 590 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 644 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 648 ],
- "I1": [ 649 ],
- "I2": [ 611 ],
- "I3": [ "0" ],
- "O": [ 650 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 595 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 648 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4367
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 652 ],
- "I1": [ 653 ],
- "I2": [ 654 ],
- "I3": [ 611 ],
- "O": [ 655 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 656 ],
- "I1": [ 616 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 653 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 657 ],
- "I1": [ 601 ],
- "I2": [ 616 ],
- "I3": [ 614 ],
- "O": [ 652 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 658 ],
- "I1": [ 659 ],
- "I2": [ 264 ],
- "I3": [ 660 ],
- "O": [ 657 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 394 ],
- "I1": [ 395 ],
- "I2": [ 374 ],
- "I3": [ 283 ],
- "O": [ 660 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 661 ],
- "I1": [ 662 ],
- "I2": [ 247 ],
- "I3": [ 246 ],
- "O": [ 658 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 663 ],
- "I1": [ 664 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 659 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 665 ],
- "I1": [ 600 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 654 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 666 ],
- "I1": [ 667 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 668 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 669 ],
- "I1": [ 670 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 671 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 672 ],
- "I1": [ 673 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 674 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 675 ],
- "I1": [ 676 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 677 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 678 ],
- "I1": [ 634 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 679 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 680 ],
- "I1": [ 681 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 682 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 683 ],
- "I1": [ 684 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 685 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 686 ],
- "I1": [ 656 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 687 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 688 ],
- "I1": [ 557 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 689 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 690 ],
- "I1": [ 563 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 691 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 692 ],
- "I1": [ 568 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 693 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 694 ],
- "I1": [ 573 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 695 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 696 ],
- "I1": [ 578 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 697 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 698 ],
- "I1": [ 590 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 699 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 700 ],
- "I1": [ 595 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 701 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 600 ],
- "I2": [ 244 ],
- "I3": [ "0" ],
- "O": [ 702 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 686 ],
- "I2": [ 703 ],
- "I3": [ "0" ],
- "O": [ 704 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 546 ],
- "I1": [ 665 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 703 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 688 ],
- "I2": [ 705 ],
- "I3": [ "0" ],
- "O": [ 706 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 554 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 705 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 690 ],
- "I2": [ 707 ],
- "I3": [ "0" ],
- "O": [ 708 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 621 ],
- "I1": [ 560 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 707 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 692 ],
- "I2": [ 709 ],
- "I3": [ "0" ],
- "O": [ 710 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ 565 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 709 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 694 ],
- "I2": [ 711 ],
- "I3": [ "0" ],
- "O": [ 712 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 570 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 711 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 696 ],
- "I2": [ 713 ],
- "I3": [ "0" ],
- "O": [ 714 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 643 ],
- "I1": [ 575 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 713 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 698 ],
- "I2": [ 715 ],
- "I3": [ "0" ],
- "O": [ 716 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 587 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 715 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 700 ],
- "I2": [ 717 ],
- "I3": [ "0" ],
- "O": [ 718 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 592 ],
- "I2": [ 297 ],
- "I3": [ 296 ],
- "O": [ 717 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 546 ],
- "I1": [ 597 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 719 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 666 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 720 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 621 ],
- "I1": [ 669 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 721 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ 672 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 722 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 675 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 723 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 643 ],
- "I1": [ 678 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 724 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 680 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 725 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 683 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 726 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 546 ],
- "I1": [ 665 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 727 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 554 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 728 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 621 ],
- "I1": [ 560 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 729 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ 565 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 730 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 570 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 731 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 643 ],
- "I1": [ 575 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 732 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 587 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 733 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52426
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 651 ],
- "I1": [ 592 ],
- "I2": [ 296 ],
- "I3": [ 297 ],
- "O": [ 734 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 129
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 13 ],
- "I2": [ 735 ],
- "I3": [ "0" ],
- "O": [ 736 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 295 ],
- "I2": [ 297 ],
- "I3": [ "0" ],
- "O": [ 735 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16830
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 477 ],
- "I2": [ 737 ],
- "I3": [ 12 ],
- "O": [ 738 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ 739 ],
- "I2": [ 294 ],
- "I3": [ "0" ],
- "O": [ 740 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 290 ],
- "I1": [ 741 ],
- "I2": [ 742 ],
- "I3": [ "0" ],
- "O": [ 743 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 357 ],
- "I1": [ 249 ],
- "I2": [ 294 ],
- "I3": [ "0" ],
- "O": [ 742 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 294 ],
- "I1": [ 357 ],
- "I2": [ 744 ],
- "I3": [ 290 ],
- "O": [ 745 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 294 ],
- "I2": [ 290 ],
- "I3": [ 746 ],
- "O": [ 747 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51916
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 748 ],
- "I1": [ 749 ],
- "I2": [ 297 ],
- "I3": [ 293 ],
- "O": [ 750 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23756
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 533 ],
- "I2": [ 748 ],
- "I3": [ 293 ],
- "O": [ 749 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 751 ],
- "I2": [ 752 ],
- "I3": [ "0" ],
- "O": [ 753 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 33 ],
- "I2": [ 139 ],
- "I3": [ "0" ],
- "O": [ 751 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 750 ],
- "I2": [ 754 ],
- "I3": [ "0" ],
- "O": [ 755 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 756 ],
- "I1": [ 518 ],
- "I2": [ 357 ],
- "I3": [ 286 ],
- "O": [ 754 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 750 ],
- "I2": [ 286 ],
- "I3": [ "0" ],
- "O": [ 757 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65296
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 293 ],
- "I1": [ 305 ],
- "I2": [ 533 ],
- "I3": [ 758 ],
- "O": [ 759 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 517 ],
- "I1": [ 518 ],
- "I2": [ 356 ],
- "I3": [ 293 ],
- "O": [ 758 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 760 ],
- "I1": [ 513 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 761 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 313 ],
- "I1": [ 514 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 762 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 763 ],
- "I1": [ 515 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 764 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 765 ],
- "I1": [ 509 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 766 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 767 ],
- "I1": [ 516 ],
- "I2": [ 8 ],
- "I3": [ "0" ],
- "O": [ 768 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 769 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 770 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 771 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 772 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 773 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 774 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 775 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 776 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 777 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 778 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 779 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 780 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 781 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 782 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 783 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 784 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 785 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 786 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 787 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 788 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 789 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 790 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 791 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 792 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 793 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 794 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 795 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 796 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 797 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 798 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 799 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 800 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 801 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 802 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 803 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 804 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 805 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 806 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 807 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 808 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 809 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 810 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 811 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 812 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 813 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 814 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 815 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 816 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 817 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 818 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 819 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 820 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 821 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 822 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 823 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 824 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 825 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 826 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 827 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 828 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 829 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 830 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 282 ],
- "I1": [ 831 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 832 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 833 ],
- "I1": [ 247 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 834 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 835 ],
- "I1": [ 246 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 836 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 837 ],
- "I1": [ 237 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 838 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 238 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 840 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 841 ],
- "I1": [ 240 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 842 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 843 ],
- "I1": [ 239 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 844 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 845 ],
- "I1": [ 242 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 846 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 847 ],
- "I1": [ 233 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 848 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 849 ],
- "I1": [ 234 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 850 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 235 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 852 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 853 ],
- "I1": [ 236 ],
- "I2": [ 282 ],
- "I3": [ "0" ],
- "O": [ 854 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 856 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 857 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 856 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 862 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 863 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 859 ],
- "I1": [ 860 ],
- "I2": [ 861 ],
- "I3": [ 858 ],
- "O": [ 862 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 864 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 865 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 860 ],
- "I2": [ 861 ],
- "I3": [ 859 ],
- "O": [ 864 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 866 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 867 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 860 ],
- "I1": [ 861 ],
- "I2": [ 858 ],
- "I3": [ 859 ],
- "O": [ 866 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 868 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 869 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 861 ],
- "I3": [ 860 ],
- "O": [ 868 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 870 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 871 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 859 ],
- "I1": [ 861 ],
- "I2": [ 860 ],
- "I3": [ 858 ],
- "O": [ 870 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 872 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 873 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 861 ],
- "I2": [ 860 ],
- "I3": [ 859 ],
- "O": [ 872 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 874 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 875 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 861 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 858 ],
- "O": [ 874 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 876 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 877 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 876 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 878 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 879 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 859 ],
- "I1": [ 860 ],
- "I2": [ 858 ],
- "I3": [ 861 ],
- "O": [ 878 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 880 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 881 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 860 ],
- "I2": [ 859 ],
- "I3": [ 861 ],
- "O": [ 880 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 882 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 883 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 860 ],
- "I1": [ 859 ],
- "I2": [ 858 ],
- "I3": [ 861 ],
- "O": [ 882 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 884 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 885 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 884 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 886 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 887 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 859 ],
- "I1": [ 858 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 886 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 888 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 889 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 888 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 855 ],
- "I1": [ 890 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 891 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 858 ],
- "I1": [ 859 ],
- "I2": [ 860 ],
- "I3": [ 861 ],
- "O": [ 890 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 856 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 892 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 862 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 893 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 864 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 894 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 866 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 895 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 868 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 896 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 870 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 897 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 872 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 898 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 874 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 899 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 876 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 900 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 878 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 901 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 880 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 902 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 882 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 903 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 884 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 904 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 886 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 905 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 888 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 906 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 890 ],
- "I1": [ 855 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 907 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7936
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 613 ],
- "I1": [ 559 ],
- "I2": [ 908 ],
- "I3": [ 909 ],
- "O": [ 910 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 911 ],
- "I2": [ 282 ],
- "I3": [ 280 ],
- "O": [ 909 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 281 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 911 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 282 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 908 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 912 ],
- "I1": [ 908 ],
- "I2": [ 909 ],
- "I3": [ "0" ],
- "O": [ 913 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 615 ],
- "I2": [ 559 ],
- "I3": [ "0" ],
- "O": [ 912 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 613 ],
- "I1": [ 559 ],
- "I2": [ 908 ],
- "I3": [ 909 ],
- "O": [ 914 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 915 ],
- "I1": [ 908 ],
- "I2": [ 909 ],
- "I3": [ "0" ],
- "O": [ 916 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 31
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 615 ],
- "I1": [ 296 ],
- "I2": [ 559 ],
- "I3": [ "0" ],
- "O": [ 915 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 281 ],
- "I1": [ 374 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 917 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 269 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 918 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 919 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 920 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 921 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 922 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 923 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 924 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 925 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 243 ],
- "I1": [ 926 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 927 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 928 ],
- "I1": [ 929 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 930 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 929 ],
- "I1": [ 244 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 931 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 105
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 525 ],
- "I1": [ 13 ],
- "I2": [ 932 ],
- "I3": [ "0" ],
- "O": [ 933 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 933 ],
- "I1": [ 297 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 934 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 296 ],
- "I2": [ 933 ],
- "I3": [ "0" ],
- "O": [ 935 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 753 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 936 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 937 ],
- "I2": [ 288 ],
- "I3": [ "0" ],
- "O": [ 938 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 294 ],
- "I1": [ 298 ],
- "I2": [ 58 ],
- "I3": [ 32 ],
- "O": [ 937 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 286 ],
- "I1": [ 539 ],
- "I2": [ 288 ],
- "I3": [ "0" ],
- "O": [ 939 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 288 ],
- "I1": [ 540 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 940 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 288 ],
- "I1": [ 537 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 941 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 942 ],
- "I1": [ 943 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 944 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 225
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ 522 ],
- "I2": [ 523 ],
- "I3": [ "0" ],
- "O": [ 945 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 54272
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 525 ],
- "I1": [ 13 ],
- "I2": [ 932 ],
- "I3": [ 244 ],
- "O": [ 946 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 113
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 13 ],
- "I2": [ 947 ],
- "I3": [ "0" ],
- "O": [ 948 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 948 ],
- "I1": [ 8 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 949 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 54272
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 474 ],
- "I1": [ 13 ],
- "I2": [ 475 ],
- "I3": [ 243 ],
- "O": [ 950 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 482 ],
- "I2": [ 478 ],
- "I3": [ 243 ],
- "O": [ 951 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45568
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 12 ],
- "I1": [ 482 ],
- "I2": [ 737 ],
- "I3": [ 243 ],
- "O": [ 952 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 523 ],
- "I1": [ 521 ],
- "I2": [ 353 ],
- "I3": [ 522 ],
- "O": [ 953 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 353 ],
- "I1": [ 954 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 955 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45728
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 527 ],
- "I2": [ 529 ],
- "I3": [ 528 ],
- "O": [ 954 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 956 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 957 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 6 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 958 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 254 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 959 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 960 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 305 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 961 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 244 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 962 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 127 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 963 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 34 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 964 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 965 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 966 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 967 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 8 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 968 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 263 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 969 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 970 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 971 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 920 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 972 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 973 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 974 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 3 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 975 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 85 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 976 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30704
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 35 ],
- "I1": [ 38 ],
- "I2": [ 41 ],
- "I3": [ 42 ],
- "O": [ 977 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52988
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 976 ],
- "I1": [ 55 ],
- "I2": [ 42 ],
- "I3": [ 977 ],
- "O": [ 978 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 89 ],
- "I1": [ 94 ],
- "I2": [ 42 ],
- "I3": [ 34 ],
- "O": [ 979 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 96 ],
- "I2": [ 42 ],
- "I3": [ 979 ],
- "O": [ 980 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16373
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 104 ],
- "I1": [ 105 ],
- "I2": [ 33 ],
- "I3": [ 34 ],
- "O": [ 981 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 42 ],
- "I1": [ 981 ],
- "I2": [ 52 ],
- "I3": [ "0" ],
- "O": [ 982 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62532
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ 63 ],
- "I2": [ 982 ],
- "I3": [ 56 ],
- "O": [ 983 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 128 ],
- "I1": [ 129 ],
- "I2": [ 135 ],
- "I3": [ 55 ],
- "O": [ 984 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 130 ],
- "I1": [ 131 ],
- "I2": [ 984 ],
- "I3": [ 33 ],
- "O": [ 985 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 133 ],
- "I2": [ 136 ],
- "I3": [ 33 ],
- "O": [ 986 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60464
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 33 ],
- "I1": [ 55 ],
- "I2": [ 986 ],
- "I3": [ 985 ],
- "O": [ 987 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62453
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 47 ],
- "I1": [ 64 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 988 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 138 ],
- "I2": [ 988 ],
- "I3": [ 33 ],
- "O": [ 989 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17423
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 141 ],
- "I1": [ 142 ],
- "I2": [ 140 ],
- "I3": [ 33 ],
- "O": [ 990 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43215
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 989 ],
- "I1": [ 990 ],
- "I2": [ 33 ],
- "I3": [ 55 ],
- "O": [ 991 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17423
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 165 ],
- "I2": [ 992 ],
- "I3": [ 151 ],
- "O": [ 993 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 993 ],
- "I1": [ 994 ],
- "I2": [ 995 ],
- "I3": [ 996 ],
- "O": [ 148 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 997 ],
- "I1": [ 998 ],
- "I2": [ 155 ],
- "I3": [ 151 ],
- "O": [ 999 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1000 ],
- "I1": [ 1001 ],
- "I2": [ 155 ],
- "I3": [ 999 ],
- "O": [ 1002 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 1002 ],
- "I2": [ 153 ],
- "I3": [ 159 ],
- "O": [ 994 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 189 ],
- "I2": [ 154 ],
- "I3": [ 151 ],
- "O": [ 1003 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 182 ],
- "I1": [ 183 ],
- "I2": [ 154 ],
- "I3": [ 1003 ],
- "O": [ 1004 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 191 ],
- "I2": [ 154 ],
- "I3": [ 151 ],
- "O": [ 1005 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 187 ],
- "I2": [ 154 ],
- "I3": [ 1005 ],
- "O": [ 1006 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 193 ],
- "I1": [ 195 ],
- "I2": [ 1007 ],
- "I3": [ 201 ],
- "O": [ 1008 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61457
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 197 ],
- "I1": [ 199 ],
- "I2": [ 1009 ],
- "I3": [ 201 ],
- "O": [ 1010 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64762
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1008 ],
- "I2": [ 181 ],
- "I3": [ 176 ],
- "O": [ 1011 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1012 ],
- "I1": [ 1013 ],
- "I2": [ 176 ],
- "I3": [ 184 ],
- "O": [ 1014 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 167 ],
- "I2": [ 176 ],
- "I3": [ 1014 ],
- "O": [ 1015 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1016 ],
- "I1": [ 1017 ],
- "I2": [ 176 ],
- "I3": [ 184 ],
- "O": [ 1018 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 170 ],
- "I2": [ 176 ],
- "I3": [ 1018 ],
- "O": [ 1019 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 997 ],
- "I1": [ 998 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 1020 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1000 ],
- "I1": [ 1001 ],
- "I2": [ 185 ],
- "I3": [ 1020 ],
- "O": [ 1007 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 150 ],
- "I2": [ 185 ],
- "I3": [ 184 ],
- "O": [ 1021 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 157 ],
- "I2": [ 185 ],
- "I3": [ 1021 ],
- "O": [ 1009 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 350 ],
- "I1": [ 294 ],
- "I2": [ 5 ],
- "I3": [ 351 ],
- "O": [ 1022 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3908
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 262 ],
- "I1": [ 1022 ],
- "I2": [ 353 ],
- "I3": [ 202 ],
- "O": [ 1023 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 4 ],
- "I1": [ 1023 ],
- "I2": [ 354 ],
- "I3": [ "0" ],
- "O": [ 1024 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62464
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 16 ],
- "I2": [ 15 ],
- "I3": [ 13 ],
- "O": [ 1025 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4367
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 487 ],
- "I1": [ 490 ],
- "I2": [ 496 ],
- "I3": [ 311 ],
- "O": [ 1026 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 56332
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 493 ],
- "I1": [ 311 ],
- "I2": [ 308 ],
- "I3": [ 1026 ],
- "O": [ 1027 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1523
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1028 ],
- "I1": [ 1029 ],
- "I2": [ 308 ],
- "I3": [ 1027 ],
- "O": [ 1030 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1031 ],
- "I1": [ 1030 ],
- "I2": [ 313 ],
- "I3": [ "0" ],
- "O": [ 1032 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1033 ],
- "I1": [ 1034 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 1035 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1036 ],
- "I1": [ 1037 ],
- "I2": [ 314 ],
- "I3": [ 1035 ],
- "O": [ 1028 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1038 ],
- "I1": [ 1039 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 1040 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1041 ],
- "I1": [ 1042 ],
- "I2": [ 314 ],
- "I3": [ 1040 ],
- "O": [ 1029 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 1044 ],
- "I2": [ 311 ],
- "I3": [ 314 ],
- "O": [ 1045 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1046 ],
- "I1": [ 1047 ],
- "I2": [ 311 ],
- "I3": [ 1045 ],
- "O": [ 1048 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 502 ],
- "I1": [ 499 ],
- "I2": [ 308 ],
- "I3": [ 315 ],
- "O": [ 1049 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30704
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1048 ],
- "I1": [ 1049 ],
- "I2": [ 1050 ],
- "I3": [ 308 ],
- "O": [ 1051 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 505 ],
- "I2": [ 308 ],
- "I3": [ 311 ],
- "O": [ 1052 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61508
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 308 ],
- "I1": [ 1049 ],
- "I2": [ 1051 ],
- "I3": [ 1052 ],
- "O": [ 1031 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1053 ],
- "I1": [ 1054 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 1055 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1056 ],
- "I1": [ 1057 ],
- "I2": [ 314 ],
- "I3": [ 1055 ],
- "O": [ 1050 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 147 ],
- "I1": [ 113 ],
- "I2": [ 125 ],
- "I3": [ "0" ],
- "O": [ 1058 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 94 ],
- "I2": [ 1059 ],
- "I3": [ 144 ],
- "O": [ 1060 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 22 ],
- "I1": [ 16 ],
- "I2": [ 1060 ],
- "I3": [ 120 ],
- "O": [ 525 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1061 ],
- "I1": [ 1062 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1063 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1065 ],
- "I2": [ 246 ],
- "I3": [ 1063 ],
- "O": [ 1066 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 399 ],
- "I2": [ 1066 ],
- "I3": [ 264 ],
- "O": [ 558 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1067 ],
- "I1": [ 1068 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1069 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1070 ],
- "I1": [ 1071 ],
- "I2": [ 246 ],
- "I3": [ 1069 ],
- "O": [ 1072 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 447 ],
- "I2": [ 1072 ],
- "I3": [ 264 ],
- "O": [ 557 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 1074 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1075 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1076 ],
- "I1": [ 1077 ],
- "I2": [ 246 ],
- "I3": [ 1075 ],
- "O": [ 1078 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 402 ],
- "I2": [ 1078 ],
- "I3": [ 264 ],
- "O": [ 564 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 1080 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1081 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1082 ],
- "I1": [ 1083 ],
- "I2": [ 246 ],
- "I3": [ 1081 ],
- "O": [ 1084 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 450 ],
- "I2": [ 1084 ],
- "I3": [ 264 ],
- "O": [ 563 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1085 ],
- "I1": [ 1086 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1087 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1088 ],
- "I1": [ 1089 ],
- "I2": [ 246 ],
- "I3": [ 1087 ],
- "O": [ 1090 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 405 ],
- "I2": [ 1090 ],
- "I3": [ 264 ],
- "O": [ 569 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1091 ],
- "I1": [ 1092 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1093 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1094 ],
- "I1": [ 1095 ],
- "I2": [ 246 ],
- "I3": [ 1093 ],
- "O": [ 1096 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 453 ],
- "I2": [ 1096 ],
- "I3": [ 264 ],
- "O": [ 568 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1097 ],
- "I1": [ 1098 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1099 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 1101 ],
- "I2": [ 246 ],
- "I3": [ 1099 ],
- "O": [ 1102 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 408 ],
- "I2": [ 1102 ],
- "I3": [ 264 ],
- "O": [ 574 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1103 ],
- "I1": [ 1104 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1105 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1106 ],
- "I1": [ 1107 ],
- "I2": [ 246 ],
- "I3": [ 1105 ],
- "O": [ 1108 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 456 ],
- "I2": [ 1108 ],
- "I3": [ 264 ],
- "O": [ 573 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1109 ],
- "I1": [ 1110 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1111 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1112 ],
- "I1": [ 1113 ],
- "I2": [ 246 ],
- "I3": [ 1111 ],
- "O": [ 1114 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 459 ],
- "I2": [ 1114 ],
- "I3": [ 264 ],
- "O": [ 578 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1115 ],
- "I1": [ 1116 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1117 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1118 ],
- "I1": [ 1119 ],
- "I2": [ 246 ],
- "I3": [ 1117 ],
- "O": [ 1120 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 414 ],
- "I2": [ 1120 ],
- "I3": [ 264 ],
- "O": [ 591 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 1122 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1123 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1124 ],
- "I1": [ 1125 ],
- "I2": [ 246 ],
- "I3": [ 1123 ],
- "O": [ 1126 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 462 ],
- "I2": [ 1126 ],
- "I3": [ 264 ],
- "O": [ 590 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1127 ],
- "I1": [ 1128 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1129 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1130 ],
- "I1": [ 1131 ],
- "I2": [ 246 ],
- "I3": [ 1129 ],
- "O": [ 1132 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 417 ],
- "I2": [ 1132 ],
- "I3": [ 264 ],
- "O": [ 596 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1133 ],
- "I1": [ 1134 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1135 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1136 ],
- "I1": [ 1137 ],
- "I2": [ 246 ],
- "I3": [ 1135 ],
- "O": [ 1138 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 465 ],
- "I2": [ 1138 ],
- "I3": [ 264 ],
- "O": [ 595 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1139 ],
- "I1": [ 1140 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1141 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1142 ],
- "I1": [ 1143 ],
- "I2": [ 246 ],
- "I3": [ 1141 ],
- "O": [ 1144 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 468 ],
- "I2": [ 1144 ],
- "I3": [ 264 ],
- "O": [ 600 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1145 ],
- "I1": [ 1146 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1147 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1148 ],
- "I1": [ 1149 ],
- "I2": [ 246 ],
- "I3": [ 1147 ],
- "O": [ 1150 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 375 ],
- "I2": [ 1150 ],
- "I3": [ 264 ],
- "O": [ 1151 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 558 ],
- "I1": [ 1151 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1152 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 667 ],
- "I1": [ 1152 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 610 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1153 ],
- "I1": [ 1154 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1155 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1156 ],
- "I1": [ 1157 ],
- "I2": [ 246 ],
- "I3": [ 1155 ],
- "O": [ 1158 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 423 ],
- "I2": [ 1158 ],
- "I3": [ 264 ],
- "O": [ 667 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1159 ],
- "I1": [ 1160 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1161 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 973 ],
- "I1": [ 1162 ],
- "I2": [ 246 ],
- "I3": [ 1161 ],
- "O": [ 1163 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 378 ],
- "I2": [ 1163 ],
- "I3": [ 264 ],
- "O": [ 1164 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 564 ],
- "I1": [ 1164 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1165 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ 1165 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 619 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1166 ],
- "I1": [ 1167 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1168 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1169 ],
- "I1": [ 1170 ],
- "I2": [ 246 ],
- "I3": [ 1168 ],
- "O": [ 1171 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 426 ],
- "I2": [ 1171 ],
- "I3": [ 264 ],
- "O": [ 670 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1172 ],
- "I1": [ 1173 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1174 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1175 ],
- "I1": [ 1176 ],
- "I2": [ 246 ],
- "I3": [ 1174 ],
- "O": [ 1177 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 381 ],
- "I2": [ 1177 ],
- "I3": [ 264 ],
- "O": [ 1178 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 1178 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1179 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 673 ],
- "I1": [ 1179 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 623 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1180 ],
- "I1": [ 1181 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1182 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1183 ],
- "I1": [ 1184 ],
- "I2": [ 246 ],
- "I3": [ 1182 ],
- "O": [ 1185 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 429 ],
- "I2": [ 1185 ],
- "I3": [ 264 ],
- "O": [ 673 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1186 ],
- "I1": [ 1187 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1188 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1189 ],
- "I1": [ 1190 ],
- "I2": [ 246 ],
- "I3": [ 1188 ],
- "O": [ 1191 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 384 ],
- "I2": [ 1191 ],
- "I3": [ 264 ],
- "O": [ 1192 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 574 ],
- "I1": [ 1192 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1193 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 676 ],
- "I1": [ 1193 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 627 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1194 ],
- "I1": [ 1195 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1196 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1197 ],
- "I1": [ 1198 ],
- "I2": [ 246 ],
- "I3": [ 1196 ],
- "O": [ 1199 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 432 ],
- "I2": [ 1199 ],
- "I3": [ 264 ],
- "O": [ 676 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1200 ],
- "I1": [ 1201 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1202 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1203 ],
- "I1": [ 1204 ],
- "I2": [ 246 ],
- "I3": [ 1202 ],
- "O": [ 1205 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 435 ],
- "I2": [ 1205 ],
- "I3": [ 264 ],
- "O": [ 634 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1206 ],
- "I1": [ 1207 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1208 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1209 ],
- "I1": [ 1210 ],
- "I2": [ 246 ],
- "I3": [ 1208 ],
- "O": [ 1211 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 390 ],
- "I2": [ 1211 ],
- "I3": [ 264 ],
- "O": [ 1212 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 591 ],
- "I1": [ 1212 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1213 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 681 ],
- "I1": [ 1213 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 645 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1214 ],
- "I1": [ 1215 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1216 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1217 ],
- "I1": [ 1218 ],
- "I2": [ 246 ],
- "I3": [ 1216 ],
- "O": [ 1219 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 438 ],
- "I2": [ 1219 ],
- "I3": [ 264 ],
- "O": [ 681 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1220 ],
- "I1": [ 1221 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1222 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1223 ],
- "I1": [ 1224 ],
- "I2": [ 246 ],
- "I3": [ 1222 ],
- "O": [ 1225 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 393 ],
- "I2": [ 1225 ],
- "I3": [ 264 ],
- "O": [ 1226 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 596 ],
- "I1": [ 1226 ],
- "I2": [ 614 ],
- "I3": [ "0" ],
- "O": [ 1227 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 684 ],
- "I1": [ 1227 ],
- "I2": [ 616 ],
- "I3": [ "0" ],
- "O": [ 649 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1228 ],
- "I1": [ 1229 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1230 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1231 ],
- "I1": [ 1232 ],
- "I2": [ 246 ],
- "I3": [ 1230 ],
- "O": [ 1233 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 441 ],
- "I2": [ 1233 ],
- "I3": [ 264 ],
- "O": [ 684 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ 1235 ],
- "I2": [ 246 ],
- "I3": [ 247 ],
- "O": [ 1236 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 1238 ],
- "I2": [ 246 ],
- "I3": [ 1236 ],
- "O": [ 1239 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 444 ],
- "I2": [ 1239 ],
- "I3": [ 264 ],
- "O": [ 656 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 181 ],
- "I1": [ 185 ],
- "I2": [ 33 ],
- "I3": [ "0" ],
- "O": [ 1240 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 201 ],
- "I1": [ 176 ],
- "I2": [ 84 ],
- "I3": [ 55 ],
- "O": [ 1241 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1240 ],
- "I1": [ 184 ],
- "I2": [ 84 ],
- "I3": [ 1241 ],
- "O": [ 1242 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 35056
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1242 ],
- "I1": [ 753 ],
- "I2": [ 13 ],
- "I3": [ 295 ],
- "O": [ 748 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 88 ],
- "I2": [ 34 ],
- "I3": [ 42 ],
- "O": [ 1243 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 95 ],
- "I2": [ 34 ],
- "I3": [ 1243 ],
- "O": [ 1244 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 980 ],
- "I1": [ 1244 ],
- "I2": [ 33 ],
- "I3": [ 55 ],
- "O": [ 1245 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30704
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 978 ],
- "I1": [ 1245 ],
- "I2": [ 983 ],
- "I3": [ 1246 ],
- "O": [ 28 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 51 ],
- "I1": [ 60 ],
- "I2": [ 34 ],
- "I3": [ 127 ],
- "O": [ 1247 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 105 ],
- "I1": [ 57 ],
- "I2": [ 34 ],
- "I3": [ 1247 ],
- "O": [ 1248 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 134 ],
- "I1": [ 1248 ],
- "I2": [ 991 ],
- "I3": [ "0" ],
- "O": [ 1249 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1283
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 987 ],
- "I1": [ 1249 ],
- "I2": [ 113 ],
- "I3": [ 84 ],
- "O": [ 125 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 196 ],
- "I1": [ 192 ],
- "I2": [ 155 ],
- "I3": [ 154 ],
- "O": [ 1250 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 194 ],
- "I1": [ 155 ],
- "I2": [ 1250 ],
- "I3": [ "0" ],
- "O": [ 992 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53152
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1012 ],
- "I1": [ 1013 ],
- "I2": [ 155 ],
- "I3": [ 151 ],
- "O": [ 1251 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1016 ],
- "I1": [ 1017 ],
- "I2": [ 155 ],
- "I3": [ 1251 ],
- "O": [ 1252 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 1252 ],
- "I2": [ 168 ],
- "I3": [ 171 ],
- "O": [ 1253 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1006 ],
- "I1": [ 1004 ],
- "I2": [ 155 ],
- "I3": [ "0" ],
- "O": [ 1254 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53072
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1254 ],
- "I1": [ 1253 ],
- "I2": [ 995 ],
- "I3": [ 1255 ],
- "O": [ 996 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1019 ],
- "I1": [ 1015 ],
- "I2": [ 185 ],
- "I3": [ 181 ],
- "O": [ 1256 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51967
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 178 ],
- "I1": [ 1256 ],
- "I2": [ 201 ],
- "I3": [ 1011 ],
- "O": [ 173 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 225
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 14 ],
- "I1": [ 15 ],
- "I2": [ 13 ],
- "I3": [ "0" ],
- "O": [ 1257 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 296 ],
- "I1": [ 1257 ],
- "I2": [ 295 ],
- "I3": [ 143 ],
- "O": [ 1258 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 35056
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1259 ],
- "I1": [ 481 ],
- "I2": [ 1032 ],
- "I3": [ 512 ],
- "O": [ 1260 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 1025 ],
- "I2": [ 295 ],
- "I3": [ "0" ],
- "O": [ 1261 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3959
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1260 ],
- "I1": [ 296 ],
- "I2": [ 1261 ],
- "I3": [ 297 ],
- "O": [ 1262 ]
- }
- },
- "$abc$13728$auto$blifparse.cc:492:parse_blif$14459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1258 ],
- "I1": [ 1262 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 470 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1350.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 966 ],
- "CO": [ 1263 ],
- "I0": [ "0" ],
- "I1": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1350.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1263 ],
- "CO": [ 1264 ],
- "I0": [ "0" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1350.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1264 ],
- "CO": [ 1265 ],
- "I0": [ "0" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1350.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1265 ],
- "CO": [ 553 ],
- "I0": [ "1" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1361.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:240|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 966 ],
- "CO": [ 1266 ],
- "I0": [ "0" ],
- "I1": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1361.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:240|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1266 ],
- "CO": [ 1267 ],
- "I0": [ "0" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1361.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:240|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1267 ],
- "CO": [ 1268 ],
- "I0": [ "1" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1361.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:240|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1268 ],
- "CO": [ 551 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1372.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:195|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 965 ],
- "CO": [ 1269 ],
- "I0": [ "1" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1372.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:195|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1269 ],
- "CO": [ 1270 ],
- "I0": [ "0" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1372.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:195|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1270 ],
- "CO": [ 752 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1383.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:278|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 966 ],
- "CO": [ 1271 ],
- "I0": [ "0" ],
- "I1": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1383.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:278|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1271 ],
- "CO": [ 1272 ],
- "I0": [ "1" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1383.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:278|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1272 ],
- "CO": [ 1273 ],
- "I0": [ "0" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1383.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:278|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1273 ],
- "CO": [ 27 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1388.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 966 ],
- "CO": [ 1274 ],
- "I0": [ "0" ],
- "I1": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1388.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1274 ],
- "CO": [ 1275 ],
- "I0": [ "0" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1388.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1275 ],
- "CO": [ 1276 ],
- "I0": [ "0" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1388.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1276 ],
- "CO": [ 112 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1393.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:263|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 966 ],
- "CO": [ 1277 ],
- "I0": [ "1" ],
- "I1": [ 965 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1393.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:263|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1277 ],
- "CO": [ 1278 ],
- "I0": [ "0" ],
- "I1": [ 967 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1393.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:263|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1278 ],
- "CO": [ 1279 ],
- "I0": [ "1" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1393.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:263|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1279 ],
- "CO": [ 30 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1398.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:262|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 967 ],
- "CO": [ 1280 ],
- "I0": [ "1" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1398.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:262|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1280 ],
- "CO": [ 113 ],
- "I0": [ "0" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1403.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:261|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 967 ],
- "CO": [ 1281 ],
- "I0": [ "0" ],
- "I1": [ 964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1403.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:261|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1281 ],
- "CO": [ 1059 ],
- "I0": [ "1" ],
- "I1": [ 963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 308 ],
- "I3": [ "0" ],
- "O": [ 760 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 308 ],
- "CO": [ 1282 ],
- "I0": [ "0" ],
- "I1": [ 313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 314 ],
- "I3": [ 1282 ],
- "O": [ 763 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1282 ],
- "CO": [ 1283 ],
- "I0": [ "0" ],
- "I1": [ 314 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 311 ],
- "I3": [ 1283 ],
- "O": [ 765 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1283 ],
- "CO": [ 1284 ],
- "I0": [ "0" ],
- "I1": [ 311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 315 ],
- "I3": [ 1284 ],
- "O": [ 767 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1411.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 84 ],
- "I3": [ "0" ],
- "O": [ 1246 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1411.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 33 ],
- "CO": [ 1285 ],
- "I0": [ "0" ],
- "I1": [ 34 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1411.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 127 ],
- "I3": [ 1285 ],
- "O": [ 42 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 84 ],
- "I3": [ "0" ],
- "O": [ 70 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 84 ],
- "CO": [ 1286 ],
- "I0": [ "1" ],
- "I1": [ 55 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 33 ],
- "I3": [ 1286 ],
- "O": [ 80 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1286 ],
- "CO": [ 1287 ],
- "I0": [ "1" ],
- "I1": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 34 ],
- "I3": [ 1287 ],
- "O": [ 77 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1287 ],
- "CO": [ 1288 ],
- "I0": [ "0" ],
- "I1": [ 34 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 127 ],
- "I3": [ 1288 ],
- "O": [ 79 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 243 ],
- "I2": [ 84 ],
- "I3": [ "0" ],
- "O": [ 1289 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 1290 ],
- "I0": [ 243 ],
- "I1": [ 84 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 55 ],
- "I3": [ 1290 ],
- "O": [ 1291 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1290 ],
- "CO": [ 1292 ],
- "I0": [ "0" ],
- "I1": [ 55 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 33 ],
- "I3": [ 1292 ],
- "O": [ 1293 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1292 ],
- "CO": [ 1294 ],
- "I0": [ "0" ],
- "I1": [ 33 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 34 ],
- "I3": [ 1294 ],
- "O": [ 1295 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1294 ],
- "CO": [ 1296 ],
- "I0": [ "0" ],
- "I1": [ 34 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 127 ],
- "I3": [ 1296 ],
- "O": [ 1297 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 252 ],
- "I3": [ "0" ],
- "O": [ 1298 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 252 ],
- "CO": [ 1299 ],
- "I0": [ "0" ],
- "I1": [ 970 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1300 ],
- "I3": [ 1299 ],
- "O": [ 1301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1299 ],
- "CO": [ 1302 ],
- "I0": [ "0" ],
- "I1": [ 1300 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1303 ],
- "I3": [ 1302 ],
- "O": [ 1304 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1302 ],
- "CO": [ 1305 ],
- "I0": [ "0" ],
- "I1": [ 1303 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1306 ],
- "I3": [ 1305 ],
- "O": [ 1307 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 959 ],
- "I3": [ "0" ],
- "O": [ 956 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 959 ],
- "CO": [ 1308 ],
- "I0": [ "0" ],
- "I1": [ 920 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 922 ],
- "I3": [ 1308 ],
- "O": [ 1309 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1308 ],
- "CO": [ 1310 ],
- "I0": [ "0" ],
- "I1": [ 922 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 924 ],
- "I3": [ 1310 ],
- "O": [ 1311 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1310 ],
- "CO": [ 1312 ],
- "I0": [ "0" ],
- "I1": [ 924 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 926 ],
- "I3": [ 1312 ],
- "O": [ 1313 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 1148 ],
- "I3": [ "0" ],
- "O": [ 1314 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1088 ],
- "I3": [ 1315 ],
- "O": [ 1316 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1315 ],
- "CO": [ 1317 ],
- "I0": [ "0" ],
- "I1": [ 1088 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1100 ],
- "I3": [ 1317 ],
- "O": [ 1318 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1317 ],
- "CO": [ 1319 ],
- "I0": [ "0" ],
- "I1": [ 1100 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 583 ],
- "I3": [ 1319 ],
- "O": [ 1320 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1319 ],
- "CO": [ 1321 ],
- "I0": [ "0" ],
- "I1": [ 583 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1118 ],
- "I3": [ 1321 ],
- "O": [ 1322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1321 ],
- "CO": [ 1323 ],
- "I0": [ "0" ],
- "I1": [ 1118 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1130 ],
- "I3": [ 1323 ],
- "O": [ 1324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1323 ],
- "CO": [ 1325 ],
- "I0": [ "0" ],
- "I1": [ 1130 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 605 ],
- "I3": [ 1325 ],
- "O": [ 1326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1325 ],
- "CO": [ 1327 ],
- "I0": [ "0" ],
- "I1": [ 605 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1156 ],
- "I3": [ 1327 ],
- "O": [ 1328 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[16].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1327 ],
- "CO": [ 1329 ],
- "I0": [ "0" ],
- "I1": [ 1156 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[17].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1169 ],
- "I3": [ 1329 ],
- "O": [ 1330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[17].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1329 ],
- "CO": [ 1331 ],
- "I0": [ "0" ],
- "I1": [ 1169 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[18].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1183 ],
- "I3": [ 1331 ],
- "O": [ 1332 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[18].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1331 ],
- "CO": [ 1333 ],
- "I0": [ "0" ],
- "I1": [ 1183 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[19].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1197 ],
- "I3": [ 1333 ],
- "O": [ 1334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[19].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1333 ],
- "CO": [ 1335 ],
- "I0": [ "0" ],
- "I1": [ 1197 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1148 ],
- "CO": [ 1336 ],
- "I0": [ "0" ],
- "I1": [ 973 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[20].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1203 ],
- "I3": [ 1335 ],
- "O": [ 1337 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[20].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1335 ],
- "CO": [ 1338 ],
- "I0": [ "0" ],
- "I1": [ 1203 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[21].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1217 ],
- "I3": [ 1338 ],
- "O": [ 1339 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[21].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1338 ],
- "CO": [ 1340 ],
- "I0": [ "0" ],
- "I1": [ 1217 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[22].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1231 ],
- "I3": [ 1340 ],
- "O": [ 1341 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[22].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1340 ],
- "CO": [ 1342 ],
- "I0": [ "0" ],
- "I1": [ 1231 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[23].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1237 ],
- "I3": [ 1342 ],
- "O": [ 1343 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[23].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1342 ],
- "CO": [ 1344 ],
- "I0": [ "0" ],
- "I1": [ 1237 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[24].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1070 ],
- "I3": [ 1344 ],
- "O": [ 1345 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[24].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1344 ],
- "CO": [ 1346 ],
- "I0": [ "0" ],
- "I1": [ 1070 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[25].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1082 ],
- "I3": [ 1346 ],
- "O": [ 1347 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[25].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1346 ],
- "CO": [ 1348 ],
- "I0": [ "0" ],
- "I1": [ 1082 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[26].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1094 ],
- "I3": [ 1348 ],
- "O": [ 1349 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[26].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1348 ],
- "CO": [ 1350 ],
- "I0": [ "0" ],
- "I1": [ 1094 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[27].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1106 ],
- "I3": [ 1350 ],
- "O": [ 1351 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[27].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1350 ],
- "CO": [ 1352 ],
- "I0": [ "0" ],
- "I1": [ 1106 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[28].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1112 ],
- "I3": [ 1352 ],
- "O": [ 1353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[28].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1352 ],
- "CO": [ 1354 ],
- "I0": [ "0" ],
- "I1": [ 1112 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[29].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1124 ],
- "I3": [ 1354 ],
- "O": [ 1355 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[29].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1354 ],
- "CO": [ 1356 ],
- "I0": [ "0" ],
- "I1": [ 1124 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1175 ],
- "I3": [ 1336 ],
- "O": [ 1357 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1336 ],
- "CO": [ 1358 ],
- "I0": [ "0" ],
- "I1": [ 1175 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[30].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1136 ],
- "I3": [ 1356 ],
- "O": [ 1359 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[30].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1356 ],
- "CO": [ 1360 ],
- "I0": [ "0" ],
- "I1": [ 1136 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[31].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1142 ],
- "I3": [ 1360 ],
- "O": [ 1361 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[31].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1360 ],
- "CO": [ 1362 ],
- "I0": [ "0" ],
- "I1": [ 1142 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[32].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1149 ],
- "I3": [ 1362 ],
- "O": [ 1363 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[32].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1362 ],
- "CO": [ 1364 ],
- "I0": [ "0" ],
- "I1": [ 1149 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[33].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1162 ],
- "I3": [ 1364 ],
- "O": [ 1365 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[33].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1364 ],
- "CO": [ 1366 ],
- "I0": [ "0" ],
- "I1": [ 1162 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[34].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1176 ],
- "I3": [ 1366 ],
- "O": [ 1367 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[34].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1366 ],
- "CO": [ 1368 ],
- "I0": [ "0" ],
- "I1": [ 1176 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[35].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1190 ],
- "I3": [ 1368 ],
- "O": [ 1369 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[35].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1368 ],
- "CO": [ 1370 ],
- "I0": [ "0" ],
- "I1": [ 1190 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[36].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 641 ],
- "I3": [ 1370 ],
- "O": [ 1371 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[36].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1370 ],
- "CO": [ 1372 ],
- "I0": [ "0" ],
- "I1": [ 641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[37].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1210 ],
- "I3": [ 1372 ],
- "O": [ 1373 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[37].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1372 ],
- "CO": [ 1374 ],
- "I0": [ "0" ],
- "I1": [ 1210 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[38].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1224 ],
- "I3": [ 1374 ],
- "O": [ 1375 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[38].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1374 ],
- "CO": [ 1376 ],
- "I0": [ "0" ],
- "I1": [ 1224 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[39].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 663 ],
- "I3": [ 1376 ],
- "O": [ 1377 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[39].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1376 ],
- "CO": [ 1378 ],
- "I0": [ "0" ],
- "I1": [ 663 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1189 ],
- "I3": [ 1358 ],
- "O": [ 1379 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1358 ],
- "CO": [ 1380 ],
- "I0": [ "0" ],
- "I1": [ 1189 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[40].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1065 ],
- "I3": [ 1378 ],
- "O": [ 1381 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[40].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1378 ],
- "CO": [ 1382 ],
- "I0": [ "0" ],
- "I1": [ 1065 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[41].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1077 ],
- "I3": [ 1382 ],
- "O": [ 1383 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[41].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1382 ],
- "CO": [ 1384 ],
- "I0": [ "0" ],
- "I1": [ 1077 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[42].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1089 ],
- "I3": [ 1384 ],
- "O": [ 1385 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[42].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1384 ],
- "CO": [ 1386 ],
- "I0": [ "0" ],
- "I1": [ 1089 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[43].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1101 ],
- "I3": [ 1386 ],
- "O": [ 1387 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[43].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1386 ],
- "CO": [ 1388 ],
- "I0": [ "0" ],
- "I1": [ 1101 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[44].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 585 ],
- "I3": [ 1388 ],
- "O": [ 1389 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[44].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1388 ],
- "CO": [ 1390 ],
- "I0": [ "0" ],
- "I1": [ 585 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[45].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1119 ],
- "I3": [ 1390 ],
- "O": [ 1391 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[45].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1390 ],
- "CO": [ 1392 ],
- "I0": [ "0" ],
- "I1": [ 1119 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[46].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1131 ],
- "I3": [ 1392 ],
- "O": [ 1393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[46].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1392 ],
- "CO": [ 1394 ],
- "I0": [ "0" ],
- "I1": [ 1131 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[47].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 607 ],
- "I3": [ 1394 ],
- "O": [ 1395 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[47].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1394 ],
- "CO": [ 1396 ],
- "I0": [ "0" ],
- "I1": [ 607 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[48].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1157 ],
- "I3": [ 1396 ],
- "O": [ 1397 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[48].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1396 ],
- "CO": [ 1398 ],
- "I0": [ "0" ],
- "I1": [ 1157 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[49].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1170 ],
- "I3": [ 1398 ],
- "O": [ 1399 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[49].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1398 ],
- "CO": [ 1400 ],
- "I0": [ "0" ],
- "I1": [ 1170 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 639 ],
- "I3": [ 1380 ],
- "O": [ 1401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1380 ],
- "CO": [ 1402 ],
- "I0": [ "0" ],
- "I1": [ 639 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[50].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1184 ],
- "I3": [ 1400 ],
- "O": [ 1403 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[50].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1400 ],
- "CO": [ 1404 ],
- "I0": [ "0" ],
- "I1": [ 1184 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[51].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1198 ],
- "I3": [ 1404 ],
- "O": [ 1405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[51].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1404 ],
- "CO": [ 1406 ],
- "I0": [ "0" ],
- "I1": [ 1198 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[52].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1204 ],
- "I3": [ 1406 ],
- "O": [ 1407 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[52].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1406 ],
- "CO": [ 1408 ],
- "I0": [ "0" ],
- "I1": [ 1204 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[53].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1218 ],
- "I3": [ 1408 ],
- "O": [ 1409 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[53].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1408 ],
- "CO": [ 1410 ],
- "I0": [ "0" ],
- "I1": [ 1218 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[54].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1232 ],
- "I3": [ 1410 ],
- "O": [ 1411 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[54].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1410 ],
- "CO": [ 1412 ],
- "I0": [ "0" ],
- "I1": [ 1232 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[55].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1238 ],
- "I3": [ 1412 ],
- "O": [ 1413 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[55].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1412 ],
- "CO": [ 1414 ],
- "I0": [ "0" ],
- "I1": [ 1238 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[56].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1071 ],
- "I3": [ 1414 ],
- "O": [ 1415 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[56].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1414 ],
- "CO": [ 1416 ],
- "I0": [ "0" ],
- "I1": [ 1071 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[57].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1083 ],
- "I3": [ 1416 ],
- "O": [ 1417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[57].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1416 ],
- "CO": [ 1418 ],
- "I0": [ "0" ],
- "I1": [ 1083 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[58].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1095 ],
- "I3": [ 1418 ],
- "O": [ 1419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[58].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1418 ],
- "CO": [ 1420 ],
- "I0": [ "0" ],
- "I1": [ 1095 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[59].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1107 ],
- "I3": [ 1420 ],
- "O": [ 1421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[59].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1420 ],
- "CO": [ 1422 ],
- "I0": [ "0" ],
- "I1": [ 1107 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1209 ],
- "I3": [ 1402 ],
- "O": [ 1423 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1402 ],
- "CO": [ 1424 ],
- "I0": [ "0" ],
- "I1": [ 1209 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[60].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1113 ],
- "I3": [ 1422 ],
- "O": [ 1425 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[60].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1422 ],
- "CO": [ 1426 ],
- "I0": [ "0" ],
- "I1": [ 1113 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[61].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1125 ],
- "I3": [ 1426 ],
- "O": [ 1427 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[61].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1426 ],
- "CO": [ 1428 ],
- "I0": [ "0" ],
- "I1": [ 1125 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[62].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1137 ],
- "I3": [ 1428 ],
- "O": [ 1429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[62].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1428 ],
- "CO": [ 1430 ],
- "I0": [ "0" ],
- "I1": [ 1137 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[63].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1143 ],
- "I3": [ 1430 ],
- "O": [ 1431 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1223 ],
- "I3": [ 1424 ],
- "O": [ 1432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1424 ],
- "CO": [ 1433 ],
- "I0": [ "0" ],
- "I1": [ 1223 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 661 ],
- "I3": [ 1433 ],
- "O": [ 1434 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1433 ],
- "CO": [ 1435 ],
- "I0": [ "0" ],
- "I1": [ 661 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1064 ],
- "I3": [ 1435 ],
- "O": [ 1436 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1435 ],
- "CO": [ 1437 ],
- "I0": [ "0" ],
- "I1": [ 1064 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 1076 ],
- "I3": [ 1437 ],
- "O": [ 1438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 1437 ],
- "CO": [ 1315 ],
- "I0": [ "0" ],
- "I1": [ 1076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1564": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 917 ],
- "Q": [ 281 ],
- "R": [ 960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1565": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 375 ],
- "Q": [ 372 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1566": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 378 ],
- "Q": [ 376 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1567": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 381 ],
- "Q": [ 379 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1568": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 384 ],
- "Q": [ 382 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1569": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 387 ],
- "Q": [ 385 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1570": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 390 ],
- "Q": [ 388 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1571": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 393 ],
- "Q": [ 391 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1572": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 396 ],
- "Q": [ 394 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1573": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 399 ],
- "Q": [ 397 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1574": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 402 ],
- "Q": [ 400 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1575": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 405 ],
- "Q": [ 403 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1576": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 408 ],
- "Q": [ 406 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1577": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 411 ],
- "Q": [ 409 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1578": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 414 ],
- "Q": [ 412 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1579": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 417 ],
- "Q": [ 415 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1580": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 420 ],
- "Q": [ 418 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1581": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 423 ],
- "Q": [ 421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1582": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 426 ],
- "Q": [ 424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1583": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 429 ],
- "Q": [ 427 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1584": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 432 ],
- "Q": [ 430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1585": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 435 ],
- "Q": [ 433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1586": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 438 ],
- "Q": [ 436 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1587": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 441 ],
- "Q": [ 439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1588": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 444 ],
- "Q": [ 442 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1589": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 447 ],
- "Q": [ 445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1590": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 450 ],
- "Q": [ 448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 453 ],
- "Q": [ 451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1592": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 456 ],
- "Q": [ 454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1593": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 459 ],
- "Q": [ 457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1594": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 462 ],
- "Q": [ 460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1595": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 465 ],
- "Q": [ 463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1596": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 468 ],
- "Q": [ 466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1672": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:28|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 918 ],
- "Q": [ 270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1677": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 975 ],
- "E": [ 270 ],
- "Q": [ 3 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1678": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1439 ],
- "Q": [ 346 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1679": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1440 ],
- "Q": [ 1439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1680": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1441 ],
- "Q": [ 1440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1681": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1442 ],
- "Q": [ 1441 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1682": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "1" ],
- "Q": [ 1442 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1684": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:73|../src/serv_0/testhalt.v:12|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "1" ],
- "E": [ 919 ],
- "Q": [ 266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1688": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 769 ],
- "E": [ 370 ],
- "Q": [ 1145 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1689": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 771 ],
- "E": [ 370 ],
- "Q": [ 1159 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1690": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 773 ],
- "E": [ 370 ],
- "Q": [ 1172 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1691": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 775 ],
- "E": [ 370 ],
- "Q": [ 1186 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1692": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 777 ],
- "E": [ 370 ],
- "Q": [ 640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1693": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 779 ],
- "E": [ 370 ],
- "Q": [ 1206 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1694": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 781 ],
- "E": [ 370 ],
- "Q": [ 1220 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1695": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 783 ],
- "E": [ 370 ],
- "Q": [ 662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1696": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 785 ],
- "E": [ 370 ],
- "Q": [ 1061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1697": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 787 ],
- "E": [ 370 ],
- "Q": [ 1073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1698": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 789 ],
- "E": [ 370 ],
- "Q": [ 1085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1699": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 791 ],
- "E": [ 370 ],
- "Q": [ 1097 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1700": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 793 ],
- "E": [ 370 ],
- "Q": [ 584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1701": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 795 ],
- "E": [ 370 ],
- "Q": [ 1115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1702": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 797 ],
- "E": [ 370 ],
- "Q": [ 1127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1703": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 799 ],
- "E": [ 370 ],
- "Q": [ 606 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1704": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 801 ],
- "E": [ 370 ],
- "Q": [ 1153 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1705": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 803 ],
- "E": [ 370 ],
- "Q": [ 1166 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1706": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 805 ],
- "E": [ 370 ],
- "Q": [ 1180 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1707": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 807 ],
- "E": [ 370 ],
- "Q": [ 1194 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1708": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 809 ],
- "E": [ 370 ],
- "Q": [ 1200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1709": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 811 ],
- "E": [ 370 ],
- "Q": [ 1214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1710": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 813 ],
- "E": [ 370 ],
- "Q": [ 1228 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1711": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 815 ],
- "E": [ 370 ],
- "Q": [ 1234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1712": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 817 ],
- "E": [ 370 ],
- "Q": [ 1067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1713": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 819 ],
- "E": [ 370 ],
- "Q": [ 1079 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1714": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 821 ],
- "E": [ 370 ],
- "Q": [ 1091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1715": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 823 ],
- "E": [ 370 ],
- "Q": [ 1103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1716": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 825 ],
- "E": [ 370 ],
- "Q": [ 1109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1717": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 827 ],
- "E": [ 370 ],
- "Q": [ 1121 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1718": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 829 ],
- "E": [ 370 ],
- "Q": [ 1133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1719": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 831 ],
- "E": [ 370 ],
- "Q": [ 1139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1720": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 769 ],
- "E": [ 248 ],
- "Q": [ 1146 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1721": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 771 ],
- "E": [ 248 ],
- "Q": [ 1160 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1722": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 773 ],
- "E": [ 248 ],
- "Q": [ 1173 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1723": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 775 ],
- "E": [ 248 ],
- "Q": [ 1187 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1724": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 777 ],
- "E": [ 248 ],
- "Q": [ 642 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1725": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 779 ],
- "E": [ 248 ],
- "Q": [ 1207 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1726": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 781 ],
- "E": [ 248 ],
- "Q": [ 1221 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1727": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 783 ],
- "E": [ 248 ],
- "Q": [ 664 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1728": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 785 ],
- "E": [ 248 ],
- "Q": [ 1062 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1729": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 787 ],
- "E": [ 248 ],
- "Q": [ 1074 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1730": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 789 ],
- "E": [ 248 ],
- "Q": [ 1086 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1731": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 791 ],
- "E": [ 248 ],
- "Q": [ 1098 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1732": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 793 ],
- "E": [ 248 ],
- "Q": [ 586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1733": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 795 ],
- "E": [ 248 ],
- "Q": [ 1116 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1734": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 797 ],
- "E": [ 248 ],
- "Q": [ 1128 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1735": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 799 ],
- "E": [ 248 ],
- "Q": [ 608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1736": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 801 ],
- "E": [ 248 ],
- "Q": [ 1154 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1737": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 803 ],
- "E": [ 248 ],
- "Q": [ 1167 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1738": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 805 ],
- "E": [ 248 ],
- "Q": [ 1181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1739": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 807 ],
- "E": [ 248 ],
- "Q": [ 1195 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1740": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 809 ],
- "E": [ 248 ],
- "Q": [ 1201 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1741": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 811 ],
- "E": [ 248 ],
- "Q": [ 1215 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1742": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 813 ],
- "E": [ 248 ],
- "Q": [ 1229 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1743": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 815 ],
- "E": [ 248 ],
- "Q": [ 1235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1744": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 817 ],
- "E": [ 248 ],
- "Q": [ 1068 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1745": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 819 ],
- "E": [ 248 ],
- "Q": [ 1080 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1746": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 821 ],
- "E": [ 248 ],
- "Q": [ 1092 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1747": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 823 ],
- "E": [ 248 ],
- "Q": [ 1104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1748": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 825 ],
- "E": [ 248 ],
- "Q": [ 1110 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1749": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 827 ],
- "E": [ 248 ],
- "Q": [ 1122 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1750": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 829 ],
- "E": [ 248 ],
- "Q": [ 1134 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1751": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 831 ],
- "E": [ 248 ],
- "Q": [ 1140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1752": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 969 ],
- "Q": [ 263 ],
- "R": [ 207 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1753": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1314 ],
- "Q": [ 1148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1754": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 974 ],
- "E": [ 1148 ],
- "Q": [ 973 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1755": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1357 ],
- "Q": [ 1175 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1756": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1379 ],
- "Q": [ 1189 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1757": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1401 ],
- "Q": [ 639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1758": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1423 ],
- "Q": [ 1209 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1759": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1432 ],
- "Q": [ 1223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1760": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1434 ],
- "Q": [ 661 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1761": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1436 ],
- "Q": [ 1064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1762": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1438 ],
- "Q": [ 1076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1763": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1316 ],
- "Q": [ 1088 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1764": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1318 ],
- "Q": [ 1100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1765": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1320 ],
- "Q": [ 583 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1766": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1322 ],
- "Q": [ 1118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1767": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1324 ],
- "Q": [ 1130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1768": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1326 ],
- "Q": [ 605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1769": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1328 ],
- "Q": [ 1156 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1770": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1330 ],
- "Q": [ 1169 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1771": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1332 ],
- "Q": [ 1183 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1772": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1334 ],
- "Q": [ 1197 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1773": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1337 ],
- "Q": [ 1203 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1774": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1339 ],
- "Q": [ 1217 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1775": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1341 ],
- "Q": [ 1231 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1776": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1343 ],
- "Q": [ 1237 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1777": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1345 ],
- "Q": [ 1070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1778": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1347 ],
- "Q": [ 1082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1779": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1349 ],
- "Q": [ 1094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1780": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1351 ],
- "Q": [ 1106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1781": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1353 ],
- "Q": [ 1112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1782": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1355 ],
- "Q": [ 1124 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1783": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1359 ],
- "Q": [ 1136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1784": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1361 ],
- "Q": [ 1142 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1785": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1363 ],
- "Q": [ 1149 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1786": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1365 ],
- "Q": [ 1162 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1787": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1367 ],
- "Q": [ 1176 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1788": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1369 ],
- "Q": [ 1190 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1789": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1371 ],
- "Q": [ 641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1790": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1373 ],
- "Q": [ 1210 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1791": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1375 ],
- "Q": [ 1224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1792": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1377 ],
- "Q": [ 663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1793": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1381 ],
- "Q": [ 1065 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1794": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1383 ],
- "Q": [ 1077 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1795": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1385 ],
- "Q": [ 1089 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1796": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1387 ],
- "Q": [ 1101 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1797": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1389 ],
- "Q": [ 585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1798": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1391 ],
- "Q": [ 1119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1799": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1393 ],
- "Q": [ 1131 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1800": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1395 ],
- "Q": [ 607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1801": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1397 ],
- "Q": [ 1157 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1802": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1399 ],
- "Q": [ 1170 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1803": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1403 ],
- "Q": [ 1184 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1804": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1405 ],
- "Q": [ 1198 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1805": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1407 ],
- "Q": [ 1204 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1806": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1409 ],
- "Q": [ 1218 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1807": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1411 ],
- "Q": [ 1232 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1808": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1413 ],
- "Q": [ 1238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1809": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1415 ],
- "Q": [ 1071 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1810": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1417 ],
- "Q": [ 1083 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1811": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1419 ],
- "Q": [ 1095 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1812": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1421 ],
- "Q": [ 1107 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1813": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1425 ],
- "Q": [ 1113 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1814": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1427 ],
- "Q": [ 1125 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1815": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1429 ],
- "Q": [ 1137 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1816": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1431 ],
- "Q": [ 1143 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2070": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1298 ],
- "E": [ 251 ],
- "Q": [ 252 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2071": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 971 ],
- "E": [ 253 ],
- "Q": [ 970 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2072": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1301 ],
- "E": [ 251 ],
- "Q": [ 1300 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2073": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1304 ],
- "E": [ 251 ],
- "Q": [ 1303 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2074": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1307 ],
- "E": [ 251 ],
- "Q": [ 1306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2075": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 957 ],
- "E": [ 243 ],
- "Q": [ 254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2076": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 972 ],
- "E": [ 255 ],
- "Q": [ 920 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2077": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1309 ],
- "E": [ 243 ],
- "Q": [ 922 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2078": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1311 ],
- "E": [ 243 ],
- "Q": [ 924 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2079": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:128|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1313 ],
- "E": [ 243 ],
- "Q": [ 926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3021": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 256 ],
- "Q": [ 258 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3022": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 935 ],
- "E": [ 257 ],
- "Q": [ 365 ],
- "R": [ 962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3023": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 934 ],
- "E": [ 259 ],
- "Q": [ 364 ],
- "R": [ 962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3024": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 933 ],
- "E": [ 931 ],
- "Q": [ 615 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3025": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 933 ],
- "E": [ 930 ],
- "Q": [ 559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3026": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 546 ],
- "E": [ 547 ],
- "Q": [ 545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3027": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 612 ],
- "E": [ 261 ],
- "Q": [ 546 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3028": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 620 ],
- "E": [ 261 ],
- "Q": [ 617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3029": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 624 ],
- "E": [ 261 ],
- "Q": [ 621 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3030": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 628 ],
- "E": [ 261 ],
- "Q": [ 625 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3031": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 633 ],
- "E": [ 261 ],
- "Q": [ 629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3032": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 646 ],
- "E": [ 261 ],
- "Q": [ 643 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3033": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 650 ],
- "E": [ 261 ],
- "Q": [ 647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3034": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 655 ],
- "E": [ 261 ],
- "Q": [ 651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3035": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 556 ],
- "E": [ 261 ],
- "Q": [ 665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3036": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 562 ],
- "E": [ 261 ],
- "Q": [ 554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3037": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 567 ],
- "E": [ 261 ],
- "Q": [ 560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3038": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 572 ],
- "E": [ 261 ],
- "Q": [ 565 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3039": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 577 ],
- "E": [ 261 ],
- "Q": [ 570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3040": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 589 ],
- "E": [ 261 ],
- "Q": [ 575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3041": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 594 ],
- "E": [ 261 ],
- "Q": [ 587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3042": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 599 ],
- "E": [ 261 ],
- "Q": [ 592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3043": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 668 ],
- "E": [ 261 ],
- "Q": [ 597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3044": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 671 ],
- "E": [ 261 ],
- "Q": [ 666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3045": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 674 ],
- "E": [ 261 ],
- "Q": [ 669 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3046": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 677 ],
- "E": [ 261 ],
- "Q": [ 672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3047": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 679 ],
- "E": [ 261 ],
- "Q": [ 675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3048": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 682 ],
- "E": [ 261 ],
- "Q": [ 678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3049": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 685 ],
- "E": [ 261 ],
- "Q": [ 680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3050": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 687 ],
- "E": [ 261 ],
- "Q": [ 683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3051": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 689 ],
- "E": [ 261 ],
- "Q": [ 686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3052": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 691 ],
- "E": [ 261 ],
- "Q": [ 688 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3053": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 693 ],
- "E": [ 261 ],
- "Q": [ 690 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3054": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 695 ],
- "E": [ 261 ],
- "Q": [ 692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3055": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 697 ],
- "E": [ 261 ],
- "Q": [ 694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3056": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 699 ],
- "E": [ 261 ],
- "Q": [ 696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3057": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 701 ],
- "E": [ 261 ],
- "Q": [ 698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3058": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 702 ],
- "E": [ 261 ],
- "Q": [ 700 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3059": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 929 ],
- "Q": [ 928 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3060": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 244 ],
- "Q": [ 929 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3061": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 371 ],
- "Q": [ 256 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3062": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 260 ],
- "E": [ 287 ],
- "Q": [ 224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3063": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 546 ],
- "E": [ 256 ],
- "Q": [ 769 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3064": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 617 ],
- "E": [ 256 ],
- "Q": [ 771 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3065": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 621 ],
- "E": [ 256 ],
- "Q": [ 773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3066": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 625 ],
- "E": [ 256 ],
- "Q": [ 775 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3067": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 629 ],
- "E": [ 256 ],
- "Q": [ 777 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3068": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 643 ],
- "E": [ 256 ],
- "Q": [ 779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3069": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 647 ],
- "E": [ 256 ],
- "Q": [ 781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3070": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 651 ],
- "E": [ 256 ],
- "Q": [ 783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3071": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 727 ],
- "E": [ 256 ],
- "Q": [ 785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3072": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 728 ],
- "E": [ 256 ],
- "Q": [ 787 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3073": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 729 ],
- "E": [ 256 ],
- "Q": [ 789 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3074": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 730 ],
- "E": [ 256 ],
- "Q": [ 791 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3075": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 731 ],
- "E": [ 256 ],
- "Q": [ 793 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3076": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 732 ],
- "E": [ 256 ],
- "Q": [ 795 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3077": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 733 ],
- "E": [ 256 ],
- "Q": [ 797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3078": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 734 ],
- "E": [ 256 ],
- "Q": [ 799 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3079": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 719 ],
- "E": [ 256 ],
- "Q": [ 801 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3080": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 720 ],
- "E": [ 256 ],
- "Q": [ 803 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3081": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 721 ],
- "E": [ 256 ],
- "Q": [ 805 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3082": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 722 ],
- "E": [ 256 ],
- "Q": [ 807 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3083": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 723 ],
- "E": [ 256 ],
- "Q": [ 809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3084": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 724 ],
- "E": [ 256 ],
- "Q": [ 811 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3085": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 725 ],
- "E": [ 256 ],
- "Q": [ 813 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3086": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 726 ],
- "E": [ 256 ],
- "Q": [ 815 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3087": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 704 ],
- "E": [ 256 ],
- "Q": [ 817 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3088": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 706 ],
- "E": [ 256 ],
- "Q": [ 819 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3089": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 708 ],
- "E": [ 256 ],
- "Q": [ 821 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3090": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 710 ],
- "E": [ 256 ],
- "Q": [ 823 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3091": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 712 ],
- "E": [ 256 ],
- "Q": [ 825 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3092": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 714 ],
- "E": [ 256 ],
- "Q": [ 827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3093": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 716 ],
- "E": [ 256 ],
- "Q": [ 829 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3094": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:85|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 718 ],
- "E": [ 256 ],
- "Q": [ 831 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3345": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:117|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 736 ],
- "E": [ 8 ],
- "Q": [ 362 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3346": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:117|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 11 ],
- "E": [ 8 ],
- "Q": [ 363 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3347": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:117|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 243 ],
- "Q": [ 482 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3348": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:117|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 8 ],
- "Q": [ 483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3486": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 465 ],
- "E": [ 304 ],
- "Q": [ 481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3487": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 381 ],
- "E": [ 304 ],
- "Q": [ 119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3488": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 384 ],
- "E": [ 304 ],
- "Q": [ 120 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3489": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 387 ],
- "E": [ 304 ],
- "Q": [ 115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3490": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 390 ],
- "E": [ 304 ],
- "Q": [ 117 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3491": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 393 ],
- "E": [ 304 ],
- "Q": [ 116 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3492": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 435 ],
- "E": [ 304 ],
- "Q": [ 995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3493": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 438 ],
- "E": [ 304 ],
- "Q": [ 1255 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3494": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 441 ],
- "E": [ 304 ],
- "Q": [ 151 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3495": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 444 ],
- "E": [ 304 ],
- "Q": [ 154 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3496": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 447 ],
- "E": [ 304 ],
- "Q": [ 155 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3497": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 420 ],
- "E": [ 304 ],
- "Q": [ 181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3498": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 423 ],
- "E": [ 304 ],
- "Q": [ 201 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3499": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 426 ],
- "E": [ 304 ],
- "Q": [ 184 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3500": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 429 ],
- "E": [ 304 ],
- "Q": [ 176 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3501": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 432 ],
- "E": [ 304 ],
- "Q": [ 185 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3502": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 396 ],
- "E": [ 304 ],
- "Q": [ 858 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3503": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 399 ],
- "E": [ 304 ],
- "Q": [ 859 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3504": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 402 ],
- "E": [ 304 ],
- "Q": [ 860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3505": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 405 ],
- "E": [ 304 ],
- "Q": [ 861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3506": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 408 ],
- "E": [ 304 ],
- "Q": [ 855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3507": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 411 ],
- "E": [ 304 ],
- "Q": [ 296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3508": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 414 ],
- "E": [ 304 ],
- "Q": [ 297 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3509": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 417 ],
- "E": [ 304 ],
- "Q": [ 295 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3510": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 375 ],
- "E": [ 304 ],
- "Q": [ 105 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3511": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 378 ],
- "E": [ 304 ],
- "Q": [ 40 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3512": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 381 ],
- "E": [ 304 ],
- "Q": [ 47 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3513": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 384 ],
- "E": [ 304 ],
- "Q": [ 90 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3514": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 387 ],
- "E": [ 304 ],
- "Q": [ 104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3515": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 390 ],
- "E": [ 304 ],
- "Q": [ 78 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3516": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 393 ],
- "E": [ 304 ],
- "Q": [ 48 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3517": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 396 ],
- "E": [ 304 ],
- "Q": [ 91 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3518": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 399 ],
- "E": [ 304 ],
- "Q": [ 51 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3519": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 402 ],
- "E": [ 304 ],
- "Q": [ 39 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3520": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 405 ],
- "E": [ 304 ],
- "Q": [ 49 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3521": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 408 ],
- "E": [ 304 ],
- "Q": [ 97 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3522": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 411 ],
- "E": [ 304 ],
- "Q": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3523": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 414 ],
- "E": [ 304 ],
- "Q": [ 85 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3524": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 417 ],
- "E": [ 304 ],
- "Q": [ 46 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3525": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 420 ],
- "E": [ 304 ],
- "Q": [ 96 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3526": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 423 ],
- "E": [ 304 ],
- "Q": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3527": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 426 ],
- "E": [ 304 ],
- "Q": [ 36 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3528": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 429 ],
- "E": [ 304 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3529": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 432 ],
- "E": [ 304 ],
- "Q": [ 88 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3530": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 435 ],
- "E": [ 304 ],
- "Q": [ 58 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3531": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 438 ],
- "E": [ 304 ],
- "Q": [ 32 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3532": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 441 ],
- "E": [ 304 ],
- "Q": [ 67 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3533": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 444 ],
- "E": [ 304 ],
- "Q": [ 89 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3534": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 447 ],
- "E": [ 304 ],
- "Q": [ 60 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3535": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 450 ],
- "E": [ 304 ],
- "Q": [ 37 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3536": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 453 ],
- "E": [ 304 ],
- "Q": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3537": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 456 ],
- "E": [ 304 ],
- "Q": [ 95 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3538": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 459 ],
- "E": [ 304 ],
- "Q": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3539": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 462 ],
- "E": [ 304 ],
- "Q": [ 31 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3540": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 465 ],
- "E": [ 304 ],
- "Q": [ 65 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3541": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:212|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 468 ],
- "E": [ 304 ],
- "Q": [ 94 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:288|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 304 ],
- "Q": [ 351 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1024 ],
- "Q": [ 202 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 369 ],
- "Q": [ 5 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3545": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ "0" ],
- "Q": [ 4 ],
- "R": [ 4 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1289 ],
- "Q": [ 84 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1291 ],
- "Q": [ 55 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1293 ],
- "Q": [ 33 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1295 ],
- "Q": [ 34 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1297 ],
- "Q": [ 127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4569": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1443 ],
- "E": [ 939 ],
- "Q": [ 538 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4570": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1444 ],
- "E": [ 939 ],
- "Q": [ 1443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4571": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1445 ],
- "E": [ 939 ],
- "Q": [ 1444 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4572": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1446 ],
- "E": [ 939 ],
- "Q": [ 1445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4573": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1447 ],
- "E": [ 939 ],
- "Q": [ 1446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4574": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1448 ],
- "E": [ 939 ],
- "Q": [ 1447 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4575": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1449 ],
- "E": [ 939 ],
- "Q": [ 1448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4576": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1450 ],
- "E": [ 939 ],
- "Q": [ 1449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4577": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1451 ],
- "E": [ 939 ],
- "Q": [ 1450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4578": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1452 ],
- "E": [ 939 ],
- "Q": [ 1451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4579": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1453 ],
- "E": [ 939 ],
- "Q": [ 1452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4580": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1454 ],
- "E": [ 939 ],
- "Q": [ 1453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4581": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1455 ],
- "E": [ 939 ],
- "Q": [ 1454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4582": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1456 ],
- "E": [ 939 ],
- "Q": [ 1455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4583": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1457 ],
- "E": [ 939 ],
- "Q": [ 1456 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4584": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1458 ],
- "E": [ 939 ],
- "Q": [ 1457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4585": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1459 ],
- "E": [ 939 ],
- "Q": [ 1458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4586": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1460 ],
- "E": [ 939 ],
- "Q": [ 1459 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4587": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1461 ],
- "E": [ 939 ],
- "Q": [ 1460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4588": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1462 ],
- "E": [ 939 ],
- "Q": [ 1461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4589": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1463 ],
- "E": [ 939 ],
- "Q": [ 1462 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4590": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1464 ],
- "E": [ 939 ],
- "Q": [ 1463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4591": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1465 ],
- "E": [ 939 ],
- "Q": [ 1464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4592": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1466 ],
- "E": [ 939 ],
- "Q": [ 1465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4593": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1467 ],
- "E": [ 939 ],
- "Q": [ 1466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4594": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1468 ],
- "E": [ 939 ],
- "Q": [ 1467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4595": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1469 ],
- "E": [ 939 ],
- "Q": [ 1468 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4596": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1470 ],
- "E": [ 939 ],
- "Q": [ 1469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4597": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1471 ],
- "E": [ 939 ],
- "Q": [ 1470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4598": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1472 ],
- "E": [ 939 ],
- "Q": [ 1471 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4599": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1473 ],
- "E": [ 939 ],
- "Q": [ 1472 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4600": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 757 ],
- "E": [ 939 ],
- "Q": [ 1473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4601": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1474 ],
- "E": [ 938 ],
- "Q": [ 542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4602": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1475 ],
- "E": [ 938 ],
- "Q": [ 1474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4603": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1476 ],
- "E": [ 938 ],
- "Q": [ 1475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4604": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1477 ],
- "E": [ 938 ],
- "Q": [ 1476 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4605": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1478 ],
- "E": [ 938 ],
- "Q": [ 1477 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4606": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1479 ],
- "E": [ 938 ],
- "Q": [ 1478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4607": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1480 ],
- "E": [ 938 ],
- "Q": [ 1479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4608": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1481 ],
- "E": [ 938 ],
- "Q": [ 1480 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4609": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1482 ],
- "E": [ 938 ],
- "Q": [ 1481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4610": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1483 ],
- "E": [ 938 ],
- "Q": [ 1482 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4611": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1484 ],
- "E": [ 938 ],
- "Q": [ 1483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4612": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1485 ],
- "E": [ 938 ],
- "Q": [ 1484 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4613": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1486 ],
- "E": [ 938 ],
- "Q": [ 1485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4614": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1487 ],
- "E": [ 938 ],
- "Q": [ 1486 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4615": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1488 ],
- "E": [ 938 ],
- "Q": [ 1487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4616": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1489 ],
- "E": [ 938 ],
- "Q": [ 1488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4617": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1490 ],
- "E": [ 938 ],
- "Q": [ 1489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4618": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1491 ],
- "E": [ 938 ],
- "Q": [ 1490 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4619": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1492 ],
- "E": [ 938 ],
- "Q": [ 1491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4620": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1493 ],
- "E": [ 938 ],
- "Q": [ 1492 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4621": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1494 ],
- "E": [ 938 ],
- "Q": [ 1493 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4622": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1495 ],
- "E": [ 938 ],
- "Q": [ 1494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4623": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1496 ],
- "E": [ 938 ],
- "Q": [ 1495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4624": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1497 ],
- "E": [ 938 ],
- "Q": [ 1496 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4625": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1498 ],
- "E": [ 938 ],
- "Q": [ 1497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4626": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1499 ],
- "E": [ 938 ],
- "Q": [ 1498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4627": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1500 ],
- "E": [ 938 ],
- "Q": [ 1499 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4628": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1501 ],
- "E": [ 938 ],
- "Q": [ 1500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4629": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1502 ],
- "E": [ 938 ],
- "Q": [ 1501 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4630": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1503 ],
- "E": [ 938 ],
- "Q": [ 1502 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4631": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1504 ],
- "E": [ 938 ],
- "Q": [ 1503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4632": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 755 ],
- "E": [ 938 ],
- "Q": [ 1504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4633": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1505 ],
- "E": [ 940 ],
- "Q": [ 544 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4634": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1506 ],
- "E": [ 940 ],
- "Q": [ 1505 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4635": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1507 ],
- "E": [ 940 ],
- "Q": [ 1506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4636": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1508 ],
- "E": [ 940 ],
- "Q": [ 1507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4637": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1509 ],
- "E": [ 940 ],
- "Q": [ 1508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4638": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1510 ],
- "E": [ 940 ],
- "Q": [ 1509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4639": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1511 ],
- "E": [ 940 ],
- "Q": [ 1510 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4640": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1512 ],
- "E": [ 940 ],
- "Q": [ 1511 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4641": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1513 ],
- "E": [ 940 ],
- "Q": [ 1512 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4642": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1514 ],
- "E": [ 940 ],
- "Q": [ 1513 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4643": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1515 ],
- "E": [ 940 ],
- "Q": [ 1514 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4644": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1516 ],
- "E": [ 940 ],
- "Q": [ 1515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4645": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1517 ],
- "E": [ 940 ],
- "Q": [ 1516 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4646": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1518 ],
- "E": [ 940 ],
- "Q": [ 1517 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4647": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1519 ],
- "E": [ 940 ],
- "Q": [ 1518 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4648": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1520 ],
- "E": [ 940 ],
- "Q": [ 1519 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4649": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1521 ],
- "E": [ 940 ],
- "Q": [ 1520 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4650": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1522 ],
- "E": [ 940 ],
- "Q": [ 1521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4651": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1523 ],
- "E": [ 940 ],
- "Q": [ 1522 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4652": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1524 ],
- "E": [ 940 ],
- "Q": [ 1523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4653": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1525 ],
- "E": [ 940 ],
- "Q": [ 1524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4654": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1526 ],
- "E": [ 940 ],
- "Q": [ 1525 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4655": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1527 ],
- "E": [ 940 ],
- "Q": [ 1526 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4656": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1528 ],
- "E": [ 940 ],
- "Q": [ 1527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4657": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1529 ],
- "E": [ 940 ],
- "Q": [ 1528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4658": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1530 ],
- "E": [ 940 ],
- "Q": [ 1529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4659": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1531 ],
- "E": [ 940 ],
- "Q": [ 1530 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4660": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1532 ],
- "E": [ 940 ],
- "Q": [ 1531 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4661": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1533 ],
- "E": [ 940 ],
- "Q": [ 1532 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4662": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1534 ],
- "E": [ 940 ],
- "Q": [ 1533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4663": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1535 ],
- "E": [ 940 ],
- "Q": [ 1534 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4664": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 750 ],
- "E": [ 940 ],
- "Q": [ 1535 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4665": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1536 ],
- "E": [ 941 ],
- "Q": [ 536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4666": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1537 ],
- "E": [ 941 ],
- "Q": [ 1536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4667": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1538 ],
- "E": [ 941 ],
- "Q": [ 1537 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4668": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1539 ],
- "E": [ 941 ],
- "Q": [ 1538 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4669": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1540 ],
- "E": [ 941 ],
- "Q": [ 1539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4670": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1541 ],
- "E": [ 941 ],
- "Q": [ 1540 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4671": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1542 ],
- "E": [ 941 ],
- "Q": [ 1541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4672": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1543 ],
- "E": [ 941 ],
- "Q": [ 1542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4673": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1544 ],
- "E": [ 941 ],
- "Q": [ 1543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4674": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1545 ],
- "E": [ 941 ],
- "Q": [ 1544 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4675": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1546 ],
- "E": [ 941 ],
- "Q": [ 1545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4676": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1547 ],
- "E": [ 941 ],
- "Q": [ 1546 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4677": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1548 ],
- "E": [ 941 ],
- "Q": [ 1547 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4678": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1549 ],
- "E": [ 941 ],
- "Q": [ 1548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4679": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1550 ],
- "E": [ 941 ],
- "Q": [ 1549 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4680": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1551 ],
- "E": [ 941 ],
- "Q": [ 1550 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4681": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1552 ],
- "E": [ 941 ],
- "Q": [ 1551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4682": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1553 ],
- "E": [ 941 ],
- "Q": [ 1552 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4683": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1554 ],
- "E": [ 941 ],
- "Q": [ 1553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4684": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1555 ],
- "E": [ 941 ],
- "Q": [ 1554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4685": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1556 ],
- "E": [ 941 ],
- "Q": [ 1555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4686": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1557 ],
- "E": [ 941 ],
- "Q": [ 1556 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4687": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1558 ],
- "E": [ 941 ],
- "Q": [ 1557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4688": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1559 ],
- "E": [ 941 ],
- "Q": [ 1558 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4689": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1560 ],
- "E": [ 941 ],
- "Q": [ 1559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4690": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1561 ],
- "E": [ 941 ],
- "Q": [ 1560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4691": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1562 ],
- "E": [ 941 ],
- "Q": [ 1561 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4692": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1563 ],
- "E": [ 941 ],
- "Q": [ 1562 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4693": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1564 ],
- "E": [ 941 ],
- "Q": [ 1563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4694": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1565 ],
- "E": [ 941 ],
- "Q": [ 1564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4695": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1566 ],
- "E": [ 941 ],
- "Q": [ 1565 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4696": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 750 ],
- "E": [ 941 ],
- "Q": [ 1566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4697": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 740 ],
- "E": [ 302 ],
- "Q": [ 543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4698": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 743 ],
- "E": [ 302 ],
- "Q": [ 739 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4699": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 745 ],
- "E": [ 302 ],
- "Q": [ 741 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4700": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 747 ],
- "E": [ 302 ],
- "Q": [ 744 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4701": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1567 ],
- "E": [ 290 ],
- "Q": [ 746 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4702": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1568 ],
- "E": [ 290 ],
- "Q": [ 1567 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4703": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1569 ],
- "E": [ 290 ],
- "Q": [ 1568 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4704": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1570 ],
- "E": [ 290 ],
- "Q": [ 1569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4705": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1571 ],
- "E": [ 290 ],
- "Q": [ 1570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4706": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1572 ],
- "E": [ 290 ],
- "Q": [ 1571 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4707": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1573 ],
- "E": [ 290 ],
- "Q": [ 1572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4708": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1574 ],
- "E": [ 290 ],
- "Q": [ 1573 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4709": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1575 ],
- "E": [ 290 ],
- "Q": [ 1574 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4710": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1576 ],
- "E": [ 290 ],
- "Q": [ 1575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4711": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1577 ],
- "E": [ 290 ],
- "Q": [ 1576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4712": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1578 ],
- "E": [ 290 ],
- "Q": [ 1577 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4713": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1579 ],
- "E": [ 290 ],
- "Q": [ 1578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4714": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1580 ],
- "E": [ 290 ],
- "Q": [ 1579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4715": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1581 ],
- "E": [ 290 ],
- "Q": [ 1580 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4716": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1582 ],
- "E": [ 290 ],
- "Q": [ 1581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4717": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1583 ],
- "E": [ 290 ],
- "Q": [ 1582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4718": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1584 ],
- "E": [ 290 ],
- "Q": [ 1583 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4719": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1585 ],
- "E": [ 290 ],
- "Q": [ 1584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4720": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1586 ],
- "E": [ 290 ],
- "Q": [ 1585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4721": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1587 ],
- "E": [ 290 ],
- "Q": [ 1586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4722": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1588 ],
- "E": [ 290 ],
- "Q": [ 1587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4723": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1589 ],
- "E": [ 290 ],
- "Q": [ 1588 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4724": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1590 ],
- "E": [ 290 ],
- "Q": [ 1589 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4725": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1591 ],
- "E": [ 290 ],
- "Q": [ 1590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4726": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1592 ],
- "E": [ 290 ],
- "Q": [ 1591 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4727": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1593 ],
- "E": [ 290 ],
- "Q": [ 1592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4728": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:56|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 750 ],
- "E": [ 290 ],
- "Q": [ 1593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4999": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 961 ],
- "Q": [ 528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5000": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 528 ],
- "Q": [ 527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5001": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 958 ],
- "Q": [ 305 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5002": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 943 ],
- "Q": [ 942 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5003": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 243 ],
- "Q": [ 943 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5004": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 945 ],
- "E": [ 944 ],
- "Q": [ 355 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5005": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:86|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 306 ],
- "E": [ 307 ],
- "Q": [ 303 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5301": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:35|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 946 ],
- "Q": [ 932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5341": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1594 ],
- "E": [ 285 ],
- "Q": [ 756 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5342": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 247 ],
- "E": [ 285 ],
- "Q": [ 1594 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5343": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 246 ],
- "E": [ 285 ],
- "Q": [ 247 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5344": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 237 ],
- "E": [ 285 ],
- "Q": [ 246 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5345": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 238 ],
- "E": [ 285 ],
- "Q": [ 237 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5346": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 240 ],
- "E": [ 285 ],
- "Q": [ 238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5347": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 239 ],
- "E": [ 285 ],
- "Q": [ 240 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5348": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 242 ],
- "E": [ 285 ],
- "Q": [ 239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5349": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 233 ],
- "E": [ 285 ],
- "Q": [ 242 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5350": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 234 ],
- "E": [ 285 ],
- "Q": [ 233 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5351": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 235 ],
- "E": [ 285 ],
- "Q": [ 234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5352": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 236 ],
- "E": [ 285 ],
- "Q": [ 235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5353": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 229 ],
- "E": [ 285 ],
- "Q": [ 236 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5354": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 230 ],
- "E": [ 285 ],
- "Q": [ 229 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5355": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 231 ],
- "E": [ 285 ],
- "Q": [ 230 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5356": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 232 ],
- "E": [ 285 ],
- "Q": [ 231 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5357": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 225 ],
- "E": [ 285 ],
- "Q": [ 232 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5358": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 226 ],
- "E": [ 285 ],
- "Q": [ 225 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5359": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 227 ],
- "E": [ 285 ],
- "Q": [ 226 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5360": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 211 ],
- "E": [ 285 ],
- "Q": [ 227 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5361": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 212 ],
- "E": [ 285 ],
- "Q": [ 211 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5362": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 214 ],
- "E": [ 285 ],
- "Q": [ 212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5363": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 215 ],
- "E": [ 285 ],
- "Q": [ 214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5364": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 216 ],
- "E": [ 285 ],
- "Q": [ 215 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5365": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 217 ],
- "E": [ 285 ],
- "Q": [ 216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5366": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 208 ],
- "E": [ 285 ],
- "Q": [ 217 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5367": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 209 ],
- "E": [ 285 ],
- "Q": [ 208 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5368": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 228 ],
- "E": [ 285 ],
- "Q": [ 209 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5369": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 241 ],
- "E": [ 285 ],
- "Q": [ 228 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5370": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 222 ],
- "E": [ 285 ],
- "Q": [ 241 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5371": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 223 ],
- "E": [ 285 ],
- "Q": [ 222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5372": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 933 ],
- "E": [ 285 ],
- "Q": [ 223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5373": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:13|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 948 ],
- "E": [ 8 ],
- "Q": [ 361 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5374": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:13|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 949 ],
- "Q": [ 947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5380": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:13|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 7 ],
- "E": [ 8 ],
- "Q": [ 359 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:13|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 9 ],
- "Q": [ 10 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:78|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 950 ],
- "Q": [ 475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:67|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 951 ],
- "Q": [ 478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5408": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 514 ],
- "E": [ 936 ],
- "Q": [ 513 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5409": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 515 ],
- "E": [ 936 ],
- "Q": [ 514 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5410": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 509 ],
- "E": [ 936 ],
- "Q": [ 515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5411": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 516 ],
- "E": [ 936 ],
- "Q": [ 509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5412": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 738 ],
- "E": [ 936 ],
- "Q": [ 516 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5413": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 761 ],
- "Q": [ 308 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5414": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 762 ],
- "E": [ 309 ],
- "Q": [ 313 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5415": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 764 ],
- "Q": [ 314 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5416": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 766 ],
- "Q": [ 311 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5417": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 768 ],
- "Q": [ 315 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5418": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 968 ],
- "E": [ 316 ],
- "Q": [ 511 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5419": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1032 ],
- "E": [ 312 ],
- "Q": [ 1259 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5459": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:36|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 952 ],
- "Q": [ 737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5466": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:67|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 953 ],
- "Q": [ 523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5506": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1595 ],
- "E": [ 6 ],
- "Q": [ 524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5507": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 833 ],
- "E": [ 6 ],
- "Q": [ 1595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5508": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 835 ],
- "E": [ 6 ],
- "Q": [ 833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5509": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 837 ],
- "E": [ 6 ],
- "Q": [ 835 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5510": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 839 ],
- "E": [ 6 ],
- "Q": [ 837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5511": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 841 ],
- "E": [ 6 ],
- "Q": [ 839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5512": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 843 ],
- "E": [ 6 ],
- "Q": [ 841 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5513": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 845 ],
- "E": [ 6 ],
- "Q": [ 843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5514": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 847 ],
- "E": [ 6 ],
- "Q": [ 845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5515": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 849 ],
- "E": [ 6 ],
- "Q": [ 847 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5516": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 851 ],
- "E": [ 6 ],
- "Q": [ 849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5517": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 853 ],
- "E": [ 6 ],
- "Q": [ 851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5518": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1596 ],
- "E": [ 6 ],
- "Q": [ 853 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5519": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1597 ],
- "E": [ 6 ],
- "Q": [ 1596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5520": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1598 ],
- "E": [ 6 ],
- "Q": [ 1597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5521": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 324 ],
- "E": [ 6 ],
- "Q": [ 1598 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5522": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 325 ],
- "E": [ 6 ],
- "Q": [ 324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5523": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 341 ],
- "E": [ 6 ],
- "Q": [ 325 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5524": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 342 ],
- "E": [ 6 ],
- "Q": [ 341 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5525": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 343 ],
- "E": [ 6 ],
- "Q": [ 342 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5526": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 344 ],
- "E": [ 6 ],
- "Q": [ 343 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5527": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 337 ],
- "E": [ 6 ],
- "Q": [ 344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5528": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 338 ],
- "E": [ 6 ],
- "Q": [ 337 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5529": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 339 ],
- "E": [ 6 ],
- "Q": [ 338 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5530": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 340 ],
- "E": [ 6 ],
- "Q": [ 339 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5531": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 333 ],
- "E": [ 6 ],
- "Q": [ 340 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5532": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 334 ],
- "E": [ 6 ],
- "Q": [ 333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5533": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 335 ],
- "E": [ 6 ],
- "Q": [ 334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5534": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 336 ],
- "E": [ 6 ],
- "Q": [ 335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5535": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 331 ],
- "E": [ 6 ],
- "Q": [ 336 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5536": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 332 ],
- "E": [ 6 ],
- "Q": [ 331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5537": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 759 ],
- "E": [ 6 ],
- "Q": [ 332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:40|../src/serv_0/rtl/ser_add.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 955 ],
- "Q": [ 529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5548": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:95|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 317 ],
- "Q": [ 282 ],
- "R": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:99|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 319 ],
- "Q": [ 280 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5550": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:103|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 321 ],
- "E": [ 347 ],
- "Q": [ 323 ],
- "S": [ 960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5551": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:103|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 323 ],
- "E": [ 347 ],
- "Q": [ 321 ],
- "R": [ 960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5599": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 506 ],
- "E": [ 8 ],
- "Q": [ 500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5600": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1041 ],
- "E": [ 8 ],
- "Q": [ 506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5601": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 494 ],
- "E": [ 8 ],
- "Q": [ 1041 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5602": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 501 ],
- "E": [ 8 ],
- "Q": [ 494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5603": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 507 ],
- "E": [ 8 ],
- "Q": [ 501 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5604": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1038 ],
- "E": [ 8 ],
- "Q": [ 507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5605": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 495 ],
- "E": [ 8 ],
- "Q": [ 1038 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5606": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1056 ],
- "E": [ 8 ],
- "Q": [ 495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5607": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 503 ],
- "E": [ 8 ],
- "Q": [ 1056 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5608": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1036 ],
- "E": [ 8 ],
- "Q": [ 503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5609": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 488 ],
- "E": [ 8 ],
- "Q": [ 1036 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5610": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1053 ],
- "E": [ 8 ],
- "Q": [ 488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5611": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 504 ],
- "E": [ 8 ],
- "Q": [ 1053 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5612": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1033 ],
- "E": [ 8 ],
- "Q": [ 504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5613": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 489 ],
- "E": [ 8 ],
- "Q": [ 1033 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5614": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 497 ],
- "E": [ 8 ],
- "Q": [ 489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5615": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1046 ],
- "E": [ 8 ],
- "Q": [ 497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5616": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1042 ],
- "E": [ 8 ],
- "Q": [ 1046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5617": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 491 ],
- "E": [ 8 ],
- "Q": [ 1042 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5618": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 498 ],
- "E": [ 8 ],
- "Q": [ 491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5619": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1047 ],
- "E": [ 8 ],
- "Q": [ 498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5620": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1039 ],
- "E": [ 8 ],
- "Q": [ 1047 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5621": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 492 ],
- "E": [ 8 ],
- "Q": [ 1039 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5622": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1057 ],
- "E": [ 8 ],
- "Q": [ 492 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5623": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1043 ],
- "E": [ 8 ],
- "Q": [ 1057 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5624": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1037 ],
- "E": [ 8 ],
- "Q": [ 1043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5625": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 485 ],
- "E": [ 8 ],
- "Q": [ 1037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5626": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1054 ],
- "E": [ 8 ],
- "Q": [ 485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5627": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1044 ],
- "E": [ 8 ],
- "Q": [ 1054 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5628": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 1034 ],
- "E": [ 8 ],
- "Q": [ 1044 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5629": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 486 ],
- "E": [ 8 ],
- "Q": [ 1034 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5630": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 2 ],
- "D": [ 173 ],
- "E": [ 8 ],
- "Q": [ 486 ],
- "R": [ 172 ]
- }
- },
- "cpu.regfile.rf0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:99"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 857, 863, 865, 867, 869, 871, 873, 875, 877, 879, 881, 883, 885, 887, 889, 891 ],
- "RADDR": [ 255, 921, 923, 925, 927, "0", "0", "0", "0", "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 198, 186, 156, 169, 163, 187, 157, 170, 194, 190, 1000, 1016, 160, 191, 1001, 1017 ],
- "RE": [ "1" ],
- "WADDR": [ 252, 970, 1300, 1303, 1306, "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ "1" ],
- "WDATA": [ 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473 ],
- "WE": [ 251 ]
- }
- },
- "cpu.regfile.rf1": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:114"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907 ],
- "RADDR": [ 255, 921, 923, 925, 927, "0", "0", "0", "0", "0", "0" ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 196, 182, 149, 166, 164, 183, 150, 167, 192, 188, 997, 1012, 161, 189, 998, 1013 ],
- "RE": [ "1" ],
- "WADDR": [ 252, 970, 1300, 1303, 1306, "0", "0", "0", "0", "0", "0" ],
- "WCLK": [ 2 ],
- "WCLKE": [ "1" ],
- "WDATA": [ 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473, 473 ],
- "WE": [ 251 ]
- }
- },
- "ram.ram0.mem.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0111111100111111011111110011111101111111001111110111111100111111011111110011111101111111011111110011111100111111011111110011111101111111001111110111111100111111011111110011111101111111001111110111111100111111001111110111111101111111011111110111111101111111",
- "INIT_1": "0011111100111111001111110111111101111111011111110111111100111111001111110011111100111111001111110111111100111111001111110111111100111111001111110111111100111111001111110011111101111111001111110111111100111111011111110011111100111111011111110011111100111111",
- "INIT_2": "0011111100111111001111110011111100111111001111110011111100111111001111110111111100111111011111110011111101111111001111110111111100111111011111110111111100111111001111110111111100111111011111110011111101111111001111110111111100111111001111110011111101111111",
- "INIT_3": "0011111100111111001111110011111100111111011111110111111100111111001111110011111100111111001111110011111100111111001111110111111101111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111",
- "INIT_4": "0011111101111111011111110011111100111111001111110011111100111111001111110111111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111011111110111111100111111",
- "INIT_5": "0111111100111111001111110011111101111111001111110011111101111111001111110111111100111111001111110011111100111111011111110111111100111111001111110011111100111111001111110011111100111111011111110111111100111111001111110011111100111111001111110011111101111111",
- "INIT_6": "0011111101111111001111110011111100111111001111110011111101111111001111110111111100111111001111110111111100111111011111110011111100111111011111110011111100111111011111110111111100111111011111110011111101111111001111110011111101111111001111110011111100111111",
- "INIT_7": "0011111101111111001111110111111100111111011111110011111101111111001111110111111100111111011111110011111101111111001111110111111100111111011111110011111101111111001111110111111100111111011111110011111101111111001111110011111101111111001111110111111101111111",
- "INIT_8": "0011111101111111001111110111111100111111001111110011111101111111001111110111111100111111011111110011111101111111011111110011111100111111011111110011111101111111001111110111111100111111011111110011111101111111001111110111111100111111011111110011111101111111",
- "INIT_9": "0001111000011110000111100001111001011110000111100101111001011110010111110001111100011111010111110101111100011111000111110101111101011111010111110001111101011111001111110011111100111111011111110111111101111111001111110111111100111111011111110111111100111111",
- "INIT_A": "0011111000111110001111100101111000111110000111100011111001011110001111100001111000111110010111100111111001011110001111100001111001111110000111100001111001111110000111100011111000011110001111100101111000111110000111100101111000011110000111100111111000111110",
- "INIT_B": "0001111000011110001111100011111000111110001111100011111000111110001111100011111000111110001111100011111000111110011111100111111001111110011111100111111001111110001111100111111001111110011111100011111000111110011111100011111001111110001111100011111000111110",
- "INIT_C": "0001111000011110000111100101111000011110000111100101111000011110010111100001111001011110000111100001111001011110000111100101111001011110000111100001111000011110000111100001111001011110010111100101111001011110010111100001111001011110000111100001111000011110",
- "INIT_D": "0011111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111001011110",
- "INIT_E": "0001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100001111000011110000111100011111000011110001111100011111000011110000111100011111000011110",
- "INIT_F": "0001111100111111001111110001111100011111000111110011111100011111000111110001111100011111001111110001111100111111000111110011111100111111000111110001111100011111000111110011111100111111001111110001111100111111000111110001111100011111000111110001111100011111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1599, 1600, 1601, 373, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 377, 1609, 1610, 1611, 1612 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 910 ],
- "WDATA": [ "x", "x", "x", 770, "x", "x", "x", "x", "x", "x", "x", 772, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.1.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000100000001010010000000001001001011000000110100100000000000000010100000001000000000000000001000001000000010000000010000000100001000000000110000100000000000010010000000000000001000000000000000000100100011001000000000000000001000000010110100001000000010011",
- "INIT_1": "0000000001010001000000000100001000000000000000000100000000001000000100010001010100000000000000100000000000000100000011000000110000000000000000000100000001000110000000000000000001000000000100000111010000110100010100100001101001000000000000000011000000110100",
- "INIT_2": "0000000000000010010000000000000000000000000000110000000000001000000000110100101101000000010000000000001001000010000010000000100001000001000000010000000000000000010000000000000101010000000100000010000000100010000000000100000000000000010000000000000000000000",
- "INIT_3": "0000000000011010000000100110001100000011010000110100000000000000000000100001001000100000001010010010000001100010000000010100000101100000001000000000000000100011010000000000000000000010000000100100001000010010000000100001001000100010001000100100000000001000",
- "INIT_4": "0000000001100010010000000000100000001000001010010000000100000001000001000000010001000000000000100000000000001100000010000000100001000000000000000000000000001000010000000000100100000000000001010000000000000000010000000000000000000010010000100100000000000000",
- "INIT_5": "0000000000100000010000000100000001000000010010010000000001001000010010000000100000000100000001000100100000001100000000000110000001000000000000000000000000000000000000000000100000000000011010000100001000100010000000100000001000000000000100000000100000101010",
- "INIT_6": "0000100000001000000001000100010001000000000000000110000000100000000010000100100001010000010100000000000001000000011000000110000001100000001000000000000000000000000000000000000001000100000001000000000001010000010000000100000000000000010001000000000000000000",
- "INIT_7": "0100000001000000010000000100001001001010010010100100000001000000010000000101001001010010010100100100000001000000010000000110000001000010010100100100000001000000010000000100001001000000010000000100000001010000000000000000000001100000011010000100000001001000",
- "INIT_8": "0100000000100000000000000100000001000000010100010100010001010100000010000100101100000000001000000100000000000000000000000000100001000000000000000100010001000100010000100100001001000110010001100100000001000000010000000100000001000000011010100110011001100110",
- "INIT_9": "0000000100001000010000000100000001000011010000100111000000110100000000000000001101000010000000100010000000010000010000000000000000010010011100100110000000100000000000000010001000100100001001010000000000000000000001000000010101010000000100000000000000000010",
- "INIT_A": "0000010101101100000100000111100000000001001000000000000001100000000001000010111101001010001010100000000100100000000000000111000000001001011010100000011001100110000000010010000001001100011011000100000101100010000100000011000000000001001000100000000000000000",
- "INIT_B": "0110000101000010010100000111000000000011001000100100000000100000000000010010000001000000011101100100000101100000010000000010001000000011001011100001001000110010000000010010100000000100001001000000000100111010010000000010001001000001001000000000000000100010",
- "INIT_C": "0110010100000100001010000100100001100001000010000110000000000000011000010000000001100000010000000010000100000000011000000001100001100001000000000010000000010000001000010001001000100000010000000110000101000010011000000000000001100001000000000110000001000000",
- "INIT_D": "0000000100000010001010000000110000100001000000000010000000000000001000010000000000100000000000000010000100000000001000000100000000100101000001000010000000000000011001010000010000100000000000000010000101000000001000000001100000100001000000000010000000001000",
- "INIT_E": "0010000100000000001000000010000000101101001011100010000000000000001000010010010000100000001000000000100100001000000000000010000000000001001000000010000000100000000010010001100000000000000000000000000100000000001000000011000000100001000000000000000000100000",
- "INIT_F": "0000000000000000001001000010010000001000000011000010000000000000000000000000000000000100001001010000000000100000000000000010000000100000001010000000000000001010000001000010110000100000001001000000000000100000000000000001000100100000000000000010000000100000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1613, 1614, 1615, 380, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 383, 1623, 1624, 1625, 1626 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 910 ],
- "WDATA": [ "x", "x", "x", 774, "x", "x", "x", "x", "x", "x", "x", 776, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.10.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0100010100001000000000010000000101000001000110000001010000000011010001100001001001101001000110010010001100110000011100000011100100000010000000000100000100100001000100000000000101000010000000000100000000101000010010100000101101000000000000000100000001000000",
- "INIT_1": "0100010001000000010010010100110001100000011000000000001000000000010000000000001001100100001000010100000000000000010100100000110101010100000001110100000001001001010000000000001001100001000000010000000100100100010000000011001101110000000101000100000100010001",
- "INIT_2": "0100010000001100011000000010000001010000010100000100000000010000001000000011000001010000010100010100010001000100011100100010101001101010000011000110010000101000010000000110011001001100000111000100110100100000000000010001110101000001010000010100010100001101",
- "INIT_3": "0100000000000000010000000100001001100000000000000110100001101001010000010100000101010000001100000100000101100000010000000000000001000000011010000100000001000000010010000100100001000000000000000100000000000011010010000100011001000000011010010100000001000000",
- "INIT_4": "0101100000000100010001000100000001010010000001000100000000011000011100100111010001110000001101000110001101100001010000010101100101100000010001000101001000010100010000000001001001110000011100100110000001110000010100000101000001010001000000100111000100000001",
- "INIT_5": "0100001000000010010101000100000001000110000101100101000101111100000000110100001100011001000110000101000001010001010100000001100001000101001000010100000101010100010001000100000100010000000001000100000101000111011100010000010001000000000001010101010001011000",
- "INIT_6": "0010001000100110011100000011110001001010000011100101000000100000010100100101011001000000000000000110101001001110000010000001110001000110001010100100000000000100011000100000001001101000001011000100101001001010010100010001100001111010011110100001000000110100",
- "INIT_7": "0100000000000000010000000000010001000000000000100100100000101100010011000010100001000100000111100100010000010100010010000000100001001000000010000100000000001000010010000000100001100000001000000100011000000010000001000010010001000110001000110100000001001100",
- "INIT_8": "0100000001010100001011010010101001000010000000100100101101001110010001000100100001000010000010000100000100001000010100000000001101001001010100000101000000010100010001000000011001001000000001000101010000010000010000000001010001000000000000000100000000100010",
- "INIT_9": "0100000000000010010001000000010000000000000100100100100000011000010010000000010001000000000000100100000000001000010000000100100001001000000100000100000000000000000010000001110001001000001001000100001000010110010010000000010001000010000100010101110000001000",
- "INIT_A": "0100000000010100010000000001000001000000000100000100100001001100010000000001010001000000010010100100000001000000000000000000100001000100010011000100100001001100010000000000000001000010000011100100100000001000010000100001001001010000000110000100001001000000",
- "INIT_B": "0000000000001000000000000001000000001000000101100000100000000010000000000000000000001000000000000001000000010000000100000001100001000000000100100100100001010010000000000100001000000000000001000000000000000000000010000000100001000000000000000000010001000100",
- "INIT_C": "0000001000000010010100100101100000010000000000100000000000010010010000000000001001000010000100000100010001000110010000000000010001000100010000000000010001000100010000000100000000000000010000000100000000001000000000100000101001000000000000100000010000000100",
- "INIT_D": "0010000000100110000000000001001000000100000000000000001000011010000000000001000000010000000101000001011000001100000000000001010000010000000001000000100000000000000100000001010000000110000011100000001000000000000000000000001000000000000010000000011000000110",
- "INIT_E": "0000011000000100000001000000010000000000000011000000010000000100000000000000001000000000000000000000000000001000000000000000001000000000000100000000000000000010000000100000110000100000001001000010101000000010000001000010010000100010000000000010000000100100",
- "INIT_F": "0010000000001001001000000000010000100001000010000010000000000100000100010001000000100000000001000010001000000000001000110000001100100111000001110011010000000000001000010000010100110001000000010010001100000010000000100000001000000001000000000000001100000010",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1627, 1628, 1629, 434, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 437, 1637, 1638, 1639, 1640 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 914 ],
- "WDATA": [ "x", "x", "x", 810, "x", "x", "x", "x", "x", "x", "x", 812, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.11.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000110101011100000000010100000100100001000110010000001100000111000101110001001100001000001010010010001001110011001111100111111100100010010000010000000000001100001101000011000000100110000001100000101000001001000010000100111100100100001000000001010001110100",
- "INIT_1": "0000001001001000001111000100000000000100010000100001001000000000001000000000000100101100011010010011100001001010000101100001010100110100011101000011000001000000000110000101101000100001000000010001001100110001000001110000011100100001000000110000001101110010",
- "INIT_2": "0001110000010101001010000010000000110000011100000001000001010000000100010000001000010000001100010000111001001011001101110101001000111001011000110010011101000001001001000101000000001000010011000000100001101101000010010000111000011000000011110010000100100001",
- "INIT_3": "0010010100100000000001000000110000100001001010010011101000101101000101110101000100110100000101100001000000101000000100110001110100101101000110110000010001000100000010000100100000000001000110010000001000100010000010000100001000100000010000010001000001000010",
- "INIT_4": "0001110100010000000001000000000000011110010101100000001000000100001110000010110000110000001000000010001001110001000100010001100100100100011111000001000000010110000100000011000000100010011000000011001000111001000011000100010000000011000000000011101000101110",
- "INIT_5": "0000001001000000000101100101111100000010010000000001010001010100001001110100000000010111000111000000000101100000000100010001001000000110000001010011001001010111001000000011010100000100000001110000011000000110001101100100011000001001001010000001110100010000",
- "INIT_6": "0010001101100101001100110011011000000110011011010001010000000000000001110100010100010101010001100000011001001101001011100000100000101111010010010000111101001110001110100010100100101000001001000000101101101001000110000101101100111011011110010011001100011101",
- "INIT_7": "0100000100000011011111010001100001001000000000110100111000011000011001010000100101111111000011000100100000001001010010000001000001001011000010010110100100001010011110000010100101100000001011000110011000000100001000010000101100110110000000000001011101110100",
- "INIT_8": "0011011001110110001101000011001100000010010000100010111001001010000010000110100000010000010000010001000101010000000100000110000000111010010110000111000000110000010000100001000101001000000011100111010100010001010101010010010001000100000000010101001000100100",
- "INIT_9": "0001010001000100000011000100110000011000000011000000001001000010000011000101110000001000010010000000110001001100000010010100100000001010010111000000000101000010000100000000010100111100011010000000001000000010000010000110101000101000010000000011110001111000",
- "INIT_A": "0001000001000100000100000100010000000000000000000000100001000100000100000100010000010000010000000000010001000010000001100000001000000000010001000000101001010010000100000100101000011110010100100000100001001000000100100100001000010000010100000000000001000000",
- "INIT_B": "0001100000000100000110000000000000001010000001100001100000001010000101000001000000000000000000000001010000010000000100000001000000010010010000100000000001000000000000000100000000000000010100000000000001000000000011000000100000000100000000000000000001000100",
- "INIT_C": "0000000000010000010000000100100000000010000000000001000000001000000111000000110000000000000000100000010000000110000001000000011000010010010100000000010001001100000010000100010000001000010010100000100001010000000100100100001000010100000100000000010000000100",
- "INIT_D": "0001001000111000000100000001100000000100000000000001101000010010000000000000000000010100000001000001101000010110000011000000100000011100000000000000010000000000000110000001000000010110000101000001111000000000000000100000000000001110000000000001000000000110",
- "INIT_E": "0001111000011100000001000000010000000100000111000001010000000100000100000001000000000000000000000000101000001000000011100000100000010000000100000001010000001000000000000000000000001100001111000000101000110010000000000010000000000010001000000001010000010000",
- "INIT_F": "0000000100100001000000000010011000001011001010010000001100000011000100110001000000001100000010000000000000000000000100110010001100000101001001010001000100100000000000000010000100010001001100010000000100110011000010100000001000000011000011000001001100000010",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1641, 1642, 1643, 440, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 443, 1651, 1652, 1653, 1654 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 914 ],
- "WDATA": [ "x", "x", "x", 814, "x", "x", "x", "x", "x", "x", "x", 816, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.12.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000100100000000000000010100000101011001000010010000000000000101010100000000010101001000000010000001000000010100000100100000111000000100010000000100010000100000000001000000001001000101000100010000110000100111000011000100111001000100001001110001010001010100",
- "INIT_1": "0000100001000100000010000000000001000001001000000000010000000100010010000000000000100100011000000100000000001000000000000100100000010100000101000100000000000001010100000100000101000001000000000001010100100100010000110011000000000001000000000101101100110010",
- "INIT_2": "0000010000000100011000110100001100001000010010000101000000010000001000000010001000100010000000000000000000001110010011100010010000000001000000010010110100101100000011000000110000111110000001100010110000100100010011000000010000001100000001000001010101010101",
- "INIT_3": "0010010100100001000001000000001000000111000001100010110000101100010001010110010100000110000001000010000000000000000000000001000000010011001000010000000001000000010000000000000000111000000010010000011000100110000000000100000001100010000100100010000100000001",
- "INIT_4": "0000110000000100000000010000000101000100010000000000010000000011000001000000000000101000001010000000001001010000010000010000000100001000000110000000010000000001000000000100000000000000010010000100000000000100000000100010011000000110000000100000011000000000",
- "INIT_5": "0000001000000000000000100000001000000110010000000000000101000000000000100000010100100100001000010110000000000001000000000000000100001100010010010000010001000001011000000000011100000000000000010000010100001001000001110100000100100111000001100000010100001000",
- "INIT_6": "0100110000001101001000000010001100100100010000010000111000101001000011000000100000000110010110000000010001000000001001100000000001000101000000010100011100000001010001010100010100110011011101110010001100000000000000100100001000000010011010010001011100010011",
- "INIT_7": "0101001100000010010000010000010001001101000000100101000100000110010000000000010101010010000000010110000000100001010000000000000101000000001000100100001000000010010000000000100001010000000100000100010000000000000001000001011001100000000000000000010001100010",
- "INIT_8": "0001011000000000000100000001000001000100000000000000111001001110001000000001100001000000000000000000000000100100000000000000000000000000000000000101001000010111010100100000001001000110000000000100010100010111011001010000000101000101000001010110000100001101",
- "INIT_9": "0010000000000000000011100000011000000010000000000000000001010000000001000100000000001010000010000000100000000000000001000000000000000001000100110000000001000010000000000000010100100000001001000100000000101000000101100101001000010000000001000000100000001000",
- "INIT_A": "0010001001100000011100100101000000100000000000000010001001000100001001000000010001100010010010000111100000011000001001000000010000100000000100000000100000100110010000100110000000001000001000000000100000101000010010100100101001000000010000000110100001100000",
- "INIT_B": "0000000000000000001000000011000000100000001001100010000000100000001110000010100000100000001000000010111000101110001100000011000001100000011000100111000001110000001000000010000000100110011001100110000001100000001100000010000000100110001001100010000001100000",
- "INIT_C": "0000111000011110010000000101101000010000000100000001000000000000010100000000000001010110000000100100001001010010010000100000001000010000000010000000011000000110010000000000000000000010010100100100000000000000010000000100000001000000000000000000000000000000",
- "INIT_D": "0010001000100010000000000000000000000010000000100000100000000000000000100001010000000000000000000000000000000100000010000001000000000100000001000000000000011000000001000001010000000000000000100000001000000010000000100000001000000110000001100000001000000010",
- "INIT_E": "0000000000010000000111000000010000010100000010000001011000000110000100000000000000000000000000000001001000000010000001000001010000000100000000000001000000010100000000000000100000100000000100000001101000100010001000000010000000000000000000000000000000000100",
- "INIT_F": "0010000000100000000011000000100000101000000110000010100100001001000000100000000000100110000000000000010000011010000010010001100100000100001000000011000000100000000001100011011000010001000110010011000100000010000000100000000000010010000101100000001000010110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1655, 1656, 1657, 446, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 449, 1665, 1666, 1667, 1668 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 916 ],
- "WDATA": [ "x", "x", "x", 818, "x", "x", "x", "x", "x", "x", "x", 820, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.13.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0110100001001000000000000100000001011000000010000000010000000000010101000000000001001000000010000001000000000100010010000101101000000000010000000100000000000000000000000000000001010011000000100000100100001001000010000100100000000000010000000100000000010000",
- "INIT_1": "0000000001100100000000000000010100001000000011000000010000000000010000010000000100100000001000010000000000000000000001000110000100010100011101010100000001000001010100000001000101100000001000000011000000010100010100000000000000000010000000000100100001001010",
- "INIT_2": "0000010000000100001000010110001000001000010010000101000000010010000000110000000001000000000010010000001000000000000010000000000100000000000001010010000001100000000000000100000000010010000100100010000001100000000000000100001000000000000000000101000100010001",
- "INIT_3": "0100000000100001000000100000001000000010001000100110100000101000000000110001000101100010001000000000000000100101000000010000010101100000001101100000000000000100010000100000011000100010001110000000001001000010000000100100000001100010001000000010001100000001",
- "INIT_4": "0000000000001000010000000000010000000000000000000100001100000100000011000000100000101000001010000000001001000010010000010000100100001000000000000000000000000001000000000000001000000000010000100100000000000110000000100000000000000010000000000000000001000010",
- "INIT_5": "0000000001001000010001010000100100000000000001000000010001000010000000000000010100100000001000110100100000101001000000000000000100001000000010010000000001001001010001000010010100000100000001110100001000000010000001000100010001000010001000100000100000001000",
- "INIT_6": "0000100000000101001001000010010100000000000001010010100001101101000011000000000100000000000001010000010000000001000000000000110101000000010010000100000001000000000000000000010000110100011101000000000000000000000000000000000000000000000000000001000000011001",
- "INIT_7": "0100000001000000010000000100000001000000010010100100000001011000010000000100000001010010010010000110000001100000010100000100000001000010010000000100000001000010010000000100000001010000010110000100010001001000000000000000110101000000000001010100010000000101",
- "INIT_8": "0001000001111000000000000000000001000100010001000000111000001111000010000010100000000000000000110000010000000100000000000100000000000000010000000101001001010011010000000100000101000100010000110100000001000110010001000110010001000000010001000100010001100010",
- "INIT_9": "0000000001000000000001100100011000000010000000100101001000000010000100000000000000001010000010000000000001000000000000000100000000000000000000010000001001000010000000000000010000000100011001000000000001000000000101100101010000010100000101000000100001001000",
- "INIT_A": "0000010000100110000100000010001000000000011000000000000001100010000001000110000000001000001010100001100000111000000001100010011001001000011010000000111000101010000000100010000000001100011000000000100000101000000010100111101000000000001000000000100000011010",
- "INIT_B": "0010000000000000000100000011000000000010001001100000000000100000000010000011100000000000001000000000101000101100000100000010000000000000001000000100000001110000000000000010000000010110011000100100000001100000000000000010000000000100011101100000000000100000",
- "INIT_C": "0010100000001000011010000100101000100100000000100010000000000010011000000000000001100000000000000011000000010000001000000100000001100000000100100010011000000100001000000000000000100000010000000110000001000000011000000100000001100000000000000010000000000000",
- "INIT_D": "0000001000000000001000000000100000100000000000000010000000000000001100000000000000110100000001000010000000000100001000000000100000100100000010000010000000000000001001000000100000110000000000000011000000000000001000000000000000100100000001000010000000000000",
- "INIT_E": "0000000000000000000011000000110000000100000011000000010000000100000000000000000000000000000000000000100000001000000001100000010000000000000000000000000000010000000010000000100000100100000000000000001000010010001000000010000000100100001001000000000000000000",
- "INIT_F": "0010000000100010000011000001111000000000000000100010000000001011000000000000001000100100000001000010100000000000000000010000100100000100000000000010000000110000000001000010000000010001000100010010001000110010000000000000000000000000000000000000011000000110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1669, 1670, 1671, 452, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 455, 1679, 1680, 1681, 1682 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 916 ],
- "WDATA": [ "x", "x", "x", 822, "x", "x", "x", "x", "x", "x", "x", 824, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.14.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0111000000110000010000000000000001010000000000000010010000100100011101000010010001001000000010000001000001000000010000100000100001000000000000000100000000000000000000000000000001000011000100110100000100001000010010010100100100000000000000000100000000000000",
- "INIT_1": "0100000000000000010000010000000101011010010110100010010000100100010000000000000101110100001101000100001101000011001001000010010001110101011101010100000000000000011100000011001001101010001010100011010000110100010100000000000001000010000000100100101000001010",
- "INIT_2": "0100010001000100011100010001001101001000000010000111000001110000010000000000000001000000010000000100000000000000010010000100100001000000000000010110000001100001010000000100000001010010000100100110000001100000000000100000001001000010000000100001001100010011",
- "INIT_3": "0100000001000001010000100000001001010000000100010110000001100000010100110100001101100000011000100100000100100001010000000000000101100000011000000100000001000000000000100000001001101000011010000100001001000010011000100010001001100010010000100110000100100011",
- "INIT_4": "0100100000001000010000010101000101001000010001000100001001000010010011000000010001101000001010000100000000000000010010010100100101000100000001000100000101000001010000000000000001000000000000000100000001000000010000100000001001000010010000100100000001000010",
- "INIT_5": "0100100000011000010011000000100101000000000000000100100000001100000010000000100000100000001001000000000000001000010000000100000001001000000010000100100000001000010000000100000001000000000000000100000001000010010000100100001001000010010000100100100000011000",
- "INIT_6": "0100100000000000011001000110010001000100000001000110100001001000010010000000000001010000000000000100000000000000000010000000100001001000001110000100000000000100000000000100000001110100001101000100000000000000010000000001000001000000000000000001100000011000",
- "INIT_7": "0010000001100000000001000100000000001010010000100000100001001000000000000100000000011010010110000010000001100000000001000100000000000010010000000000000001000000000000000100000000011000010110000000110101001101000010000000100000100001001000010100100000001000",
- "INIT_8": "0111000001010000000100000001000001000100000001000100011100000011010010000000100001000111010001110100010000000100010001000100000001000001010000010001011001010110001000100110001000000110010001000000000001000000000000000100000000000000010000000010001001100010",
- "INIT_9": "0100000000000000010001100000011000000010000000100101001000000010010000000000000000001010000010000100000101000001010000000100000001010010000000000100001000000010000000010000000001100100010000000100000000000000010101100001011001010100000101000100000001000000",
- "INIT_A": "0100010000001100010000000001000001000000000000000100000001000000010001000100110001001010010010000101100001011000000001000000011001001000000010000100110000001010010000100000000001001000000010000001100000011000010110100001101001000000010000000100101001001010",
- "INIT_B": "0000000000000000000100000000000000000010000000100000000000000000000010000000100000000000000000000000101000000000000100000001000001000000000000100001000001000010000000000000000000000110010001100000000000000000000000000000000001000110000001100000000001000000",
- "INIT_C": "0000100000001000010010000100100000000000000000000000000000000000010000000000000001000000000000000101000001010000010000000100000001000000000000000100011000000110010000000100000001000010000000100100000000000000010000000000000001000000000000000001000000010000",
- "INIT_D": "0010001000000010000000000000000000010000000100000000000000000000000000000001000000000100000101000000000000000000000010000000100000001100000011000000000000000000000011000000110000000000000100000000000000010000000000000000000000010100000101000000000000000000",
- "INIT_E": "0000000000000000000011000000110000001100000001000000010000000100000000000000000000010000000100000000100000001000000001000000000000000000000000000000000000000000000010000000100000000000000001000010000000000010001000000000000000110100000101000000000000000000",
- "INIT_F": "0010000000000000001010000000110000101000001000000010100100001000000000000000000000000100000001000010000000001000001010010000100100100100000001000010000000000000001001000000010000010000000100000010001000000010000000000000000000000000000000000000011000000110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1683, 1684, 1685, 458, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 461, 1693, 1694, 1695, 1696 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 916 ],
- "WDATA": [ "x", "x", "x", 826, "x", "x", "x", "x", "x", "x", "x", 828, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.15.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0011100001101000000000000100000000011000000110000000010000000100000101000001010000001000000010000001000001010000000110000101101000000000010000000000000000000000000000000000000000010011000100110000100000001001000110000100100000000000010000000001000001010000",
- "INIT_1": "0000000101000000000000000000000000001000010010000000010000000100000000000000000000100100001000000000000001000000001011000110110000110100011101000000000001000000000100000100000000100000001010000011010000100100000100000001000000000000001000100001100001011010",
- "INIT_2": "0000010000000100001000110110000000001000000010000001000000010000000000000000000000000000010000000000000000000000000010000100100000000000000000010010000001100000000000000100000000010010000100100010000001000000000000000100000000000000000000000001000101010001",
- "INIT_3": "0010000001100000000000100000001000000000000000000010000001100000000000010000001100100011011000000010000000100000000000000000000100110010011100100000000000000000000000100000001000111000001100000000001001000010000000100000001000100000001000100010001100100001",
- "INIT_4": "0000100000000000000000010100000000000000000000000000000001000010000011000000010000101000001010000000000000000000000010010000000100001000000010000000000100000000000000000100000000000000000000000000000000000000000000100000001000000010000000100000001001000000",
- "INIT_5": "0000100001001000000010010100000000000000010000000000100001001000000010000000100000100000001000000000000000001000000000000000000000001000010010000000100000000000001000000010000000000000000000000000000001000010000000000000001000100000011000100000100000001000",
- "INIT_6": "0000100001001000001001000110010000000100010001000010100001001000000010000000100000010000010000000000000001000000000010000000100000101000001010000000000001000000000000000100000000110100001101000000000000000000000000000100000000000000010010000001100000011000",
- "INIT_7": "0100001001000000010000000100000001001010010010100101101001011100010000000100010001011010010110100110000001100000010100000101000001000000010000100100001001000000010000000100100001011000010110000100110001001100000010000000000000100000001000000000100001001000",
- "INIT_8": "0001000001010000000100000000000000000101010001000000011001000110001010100010100000000100010001000000010000000100000000000100000000000000010010000101011001010110010000100100001001000100010001100100001001000000011000000110000001000000010000000110001001100010",
- "INIT_9": "0000000001000100000001100100011000000010000000100001000001010010000100000101000000001010000010100000000001000000000000000100000000010000000110100000000001000010000000010000000000100100010001000000000001000000000101000101011000010100000101000000000001000000",
- "INIT_A": "0000011000000110000100100101001000000000010000000000001001000010000001000100010000001010010010100001100001011000000001100000010000001000010010000000110000001110000000100100000000001100010011000000100000001000000110100101101000000010010000000000100001001000",
- "INIT_B": "0000000000000000000100000001000000000010000000100000000000010000000110100001100000000000000000000000100000000010000100000001000000000000010000000001000001010000000000000000000000010110010101100000000001000000000000000000000000000110010001000000000001000000",
- "INIT_C": "0000100000001000010010000100100000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000100000000010000010100000000011000000110000000000100000000000010010000000000000001000000000000000100000000000000000000000000000000000000",
- "INIT_D": "0000000000100000000000000000000000000000000000000000000000000000000100000001000000010100000101000000000000000000000010000000000000001100000001000000000000000000000011000001010000010000000100000001000000010000000000000000000000000100000001000000000000000000",
- "INIT_E": "0000000000000000000011000000110000001100000011000000010000000100000000000000000000000000000000000000100000001000000001000000010000000000000000000001000000010100000010000000100000000100000001000001001000010000000000000010000000000100001001000000000000000000",
- "INIT_F": "0000000000100000000011000000110000001000001010000000100000000001000000000000000000000100000011000000100000011010000010010000000100000100001001000000000000100000000001000010010000010000000100000001001000110010000000000000000000000000000000000000011000000111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1697, 1698, 1699, 464, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 467, 1707, 1708, 1709, 1710 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 916 ],
- "WDATA": [ "x", "x", "x", 830, "x", "x", "x", "x", "x", "x", "x", 832, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.2.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0110111101010010010100110011111001011011001001000010011100111010011101010010101000100101010110000111001100001110011110010100001001011011000100100110001100011010001100010001101001000010000100010111100101010010010000010001101101111010000100110101001000001001",
- "INIT_1": "0111010101001011010011110011000100110111000110100110101000110111010101010000101001010111001100000100011101110111011111110000001001101001000101110100111100000000011000110011111001110011000111100111010100001010011110110000100001100001001111100111010100001010",
- "INIT_2": "0100101001000110011110000101011101010010000011110110101000110101011010110000010001010011010011000110011000000001010111100010000001101101000100100100111001100001010011100000000101111100000000110110111100010000010111100010001100111111000000110100111000010011",
- "INIT_3": "0101101001000011011000100111000101110011000100000100000101111001010100100000000101111001010000110110001101000011010000010010000001100000010110110111001000000011010011110111000001100011010010000101001001011101011100100010110001101011000101000110100001001111",
- "INIT_4": "0111101000010100010011000110100101111110000100110100100101110110011101000000101101010010011011100110111100001000010110000000011101100100010010100101100001011111010111100100000101100111000110000111001000110100010000000101001001000011010110010010000000000011",
- "INIT_5": "0110001001000100011101000001000101001010001111010111110100001000001010110000010000010101001110000100110101010000011110010100010001000101011010000101000100000100010011010000100001101101001100000110001101001100011001110010100001010001010001100111111100100010",
- "INIT_6": "0110101000010100010111000010000001000110000010000111000000011100010011100111000001110000000011000001011000101000011110000000010001101110000000000100110001111000011100100100010001001100001110000101101001001000011110010000110000010110001110000000000100010100",
- "INIT_7": "0111000000101110010001100010001001011010001001000110110000010110011101100001111001111110000000000101100000101110011011000001101001011010001110000100100000011110010100100000101001100000000101100101011100100001000000000001010001101111000010010100110000101000",
- "INIT_8": "0011111000000101011000010000111101010111001110110101010100001011010010110011011001100111000010110100000100101111010111100100000100011111000010010100010000011011011010100010010001111110000000000100000000110110010001000000001001111010001001100110011000001000",
- "INIT_9": "0100111100010101000010000001011001001011001010010101010000001010000010110001111001100010001111010101110101110011010011000001101101111010000011000010000000011010001101100000101101111100000010010101001101101111000011010001101001010000000011110101011101001110",
- "INIT_A": "0100111101001001010110000000010001010011010010010100110000010000010111100000101101011010000000000000100101010111010100000100111001001011000101110100111000000000010000010000111100001110000000100101001100011111010110000000011001010011000011110100101001010110",
- "INIT_B": "0100101100010101010110000000001001001111000110010001101000011110000000010000111101010110000110100101000101001111010000000001111001001111000010010101001001001100000010110001010100000100010110100001101101010001000110100000010001000001010111010100001000010110",
- "INIT_C": "0101011101001001010110100100010001011111010000010001011000000000010100010000101101010110000010000100001101010101010111100100010001000011010011010101000000010110010100110100000101000000000101000100111100000011010010100000010001000001000001110101010000010000",
- "INIT_D": "0011001100100111000111000001001000010101000111110001101000010100000101010000101100010100000010100001101100010101010100000001111000010101000110110001100000010010000001010101101100001110000000000100101100000101010110100101100000011011010101010000101000011100",
- "INIT_E": "0000010100100011001010000010011000001111001000010010000000100110001001010000101100010000000101100001101100000111000101100000100000011011000101010000010000010110000110010001011100100100000010100010100100110111001100000001011000010101000100110011110000100010",
- "INIT_F": "0000100100000101001101000000100000101101000000010010000000001101000100110000110100101101000110110011001000011111001000100010110100111101000010100011101000101101000011000000001100100100000110110010001100110100000100110010011100100111001100110010010100001011",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1711, 1712, 1713, 386, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 389, 1721, 1722, 1723, 1724 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 910 ],
- "WDATA": [ "x", "x", "x", 778, "x", "x", "x", "x", "x", "x", "x", 780, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.3.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0010000001101000001010100000000000010100000110100000110000100100000111100011010000000000010001000001101000010000000001000100100000101010000010000000010000000000000110000000000000110110000000100001000001001001000011100100000100011001010010000011010101010010",
- "INIT_1": "0000101101110000000000010100001100000000010100110000000000101000001000110001010100110000000100100001110101000011001010000110110000100011001000010000010001000110000110000010001000110110001000100001101000110100000100000001001000100000010000000001011000110100",
- "INIT_2": "0001000001000000001001110111000000110011000000000001010100101010000101100010101100100000000000100000101101100010001010010100101000100010010000010000000101000000001100010100000000010000010100000010000001100011000000000000001000000001011000100010001101000010",
- "INIT_3": "0000101100011000000011010100001000001011001100110000110000000000001000110001001000001101001110000011100001100001000111100000000100011001001000000010010100110000000000100100111100110010001000100011100001000010000010100011001000000110001000100010010001100000",
- "INIT_4": "0001010000100010000110110000010000001011001011000001010000000001000000100100010000100101010000000001010000000110000001010000100000010011010001000001011000000000001000000100110000010001000001010011100000000000000101000100000000100001000000100001111000100000",
- "INIT_5": "0010110001100000000110110110010000011001010000000000001001010100000111000100100000010000000001000011111001001100000001000010000000010010010001000000000000000000001110100000010000100010000011000001011000100010000100100000011000001100000100000010100001001100",
- "INIT_6": "0000110100101000001000100101010000000101010001000011011001100000000000010100110000010110011100000010000101010100000001100010000000100001011001000000101001000100000011010110000000000010010001000010100001010000000011100110000000010100010001000011101000000000",
- "INIT_7": "0100000100110000010010000000010001101001000110100101010000000000010101010000000001010010000101100100110100000000010000100010010001001011000000100100110000000000011000010000000001101011000000000110110000010101001010110000000000101000011001010001001101000100",
- "INIT_8": "0011000001111010000101110110000000011100010001000010001101010101001001100100100100001100011001110001000101000000001000000100110000000000010101010111000000000100010001010010101001000010001111100101010100000000010110100000010001100101000110000110000000100110",
- "INIT_9": "0000000000001001000000000100100000110000011000110011011001110100000010100100000100110110010000100010000101101001000010100100010000011011010100100011000001100000000010010010010000001011011001000000011001000001001010000100010100000010010100000010100001000001",
- "INIT_A": "0001100001000101000100000101100000000000010000110000000001000100000010100000010100000010010010100000100001000001000010100101000000000110010010010001001001000110000001000000000100010110010011000000000001010001000111100101000000011110000000010001101001001010",
- "INIT_B": "0001000001000011000101000101000000001010010001110000010001000000000000100000000101010110000001000101100000000001000101000100000000011110010001110001001001010010000101000100100100000000010001000000000001001011000000000001001000010000000000010001111000000000",
- "INIT_C": "0000000001000101010000000100100001001000010011010000100000000100000001100000000100000000000001000000110000000001000001000101100000000100010000010001110000000000000001000101001100001100010000000001001001001001000000000000000000011010000000010001001001010000",
- "INIT_D": "0000001000100001000001000000110000010010000100010001010000001010000000100000000100000100000001000001000000001011000011000100000000001000000001010001001000000000000000000100010100010000000000000100000001000001000011000100000000010100000100010001110000000000",
- "INIT_E": "0001101000100001000011000000100000011010000011110010100000100000001101000010010100001010001100000000010000111001000001000001011000000100000010110000100000100000000000100000100100010110000001000001011000101001000101000010000000000100001101010000001000101000",
- "INIT_F": "0000010000100000000000100011010000010101000011000000011000000000000011010001001000000010000001000000100000000000000101010010001000001110001001010000011000110010000000010010110000000110001001000001001000100011001001100001000000001011001001000000010100100100",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1725, 1726, 1727, 392, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 395, 1735, 1736, 1737, 1738 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 910 ],
- "WDATA": [ "x", "x", "x", 782, "x", "x", "x", "x", "x", "x", "x", 784, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.4.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0100010000010010001000010011111001100000001001000010010100110010011000100010100000000001010110100110101001000110010000110000011000010010000101100100001100010010001100000001101001010100000111010011010001010110001101100101000001010111000100100000000101001100",
- "INIT_1": "0010001100001011010011010011000000000001010110100100000100110111010000100000101000010101011110000111010100010110001000000000001001011011000100100101100101010000001100000111110001110011000111100100101000000010010001010000110001001000010101100000000100001010",
- "INIT_2": "0100100000000111001001010001001100100001001011110101011100110100001100000001000000110000001010000111010101010000001000010010000001001110010100100011100001110000011101100011000001001100000000110000000101000000000111010010000100000001000000000010111100110011",
- "INIT_3": "0010000100100010001011010011110000111100000111000011010000111011001001010010100000000001000001100101100000011111000110000010101000010000000110000000000000001000000100000111000001110000000001000011010100110100000011010010100000001000000100000010000001000111",
- "INIT_4": "0001110100010001000111110011101000010011000100100001111000110010010100100000101101100111001011100011000000001000001100010110010100010001010010010111011100111011001001110010000100010010000110000011000100100101001100000110011101110001000111000011000000010011",
- "INIT_5": "0001100101010100000110010001101100011111000111010111110101001010010101010100000000010010001110000011001101110000010110100000011000010011001010100000010000101100001110010111100000110100001100100001010100001000000101000011100000000101001000100010010000100010",
- "INIT_6": "0010010000010001001010000010001101100110001110000101010000011010000000010011000100100101000010110010001101101000010111010000001001000000000100010000110001101011000001100100000001101000001100100010100000001101001010000000011000111011011100010011000000111110",
- "INIT_7": "0101000000001111010001000001001101000001000001010111100100010011011100010001101001000101000000000101110100101111010011010001001101001001001111000100100100000010010010000000011101000000000110100110100000100000001011010011111001001010010010000100100100101010",
- "INIT_8": "0101100000000101000111110000101000011011011010010010001101100010011000100111011000000011000010010100000101101100000100110100000101000100000010000101100000001011010100000000010001101000000000000101110100001011011111010010101101110101001000100101000100011000",
- "INIT_9": "0000011101100000010000000101011000111101000100000010100000000010010010000001111001001000011111010010010101010010010010000000001100001001011001010010110100101011000100010000001000000001010010100101111001101010010000100101101001001010010011110001001001001110",
- "INIT_A": "0011100101111000001000100000011000110101000010000110001000000010001110100100101101100100010000000010100100011110001011000000111000100101010101100100100001100000000010010110101001000000011000100000100101100110000010100000111000001111010010100011110001110110",
- "INIT_B": "0100100101000100011001000110011001101101011110000110100001111110001000110011110001110010001110000110100100101110001100000011111000111001001110000010100001101100011000110011010001100000011110100010010101110000001100000010010000110101001111100010111001101110",
- "INIT_C": "0100001101001000010100000100010001000111000000000001101000001000010001110000011000010000000010000100111100011000010001000000000000000101010110000101000001010110000001010000010000000010000101100000011100000010000010000000000001000011000000100100011001000010",
- "INIT_D": "0000100100101010000100000000001000010001000101000001000000010100000100010000000000000000000010000000000100000100010101000001010000011011000110100000001000010000010100110001000000001110000000000100000100000100010101100000010001011101010100000001111000001100",
- "INIT_E": "0010001100010010001001100001011000100001000000000000000000101010000000010010101000011000001111100000000100100110001001100000000000111001000100000000100000101000000001010000011000010010001100100001000100010010000011100001101000011101001110100011010000100000",
- "INIT_F": "0001100000000111000000000010100100100001001000010010000100001001000000110000110100001001000000010010100000111101001010010010010000001011000010100001010000001101000000010000001000110001001110110010001000011100001000010000011100100101000100010011010100001011",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1739, 1740, 1741, 398, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 401, 1749, 1750, 1751, 1752 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 913 ],
- "WDATA": [ "x", "x", "x", 786, "x", "x", "x", "x", "x", "x", "x", 788, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.5.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0110000001110111000010000011011001000000001001000000100100111111010011010010001100101001000100100100100101000010010001000111011100100100000101110100110000011110000001000001111001100110000110100000010001010010011011000011101001000100010100100010010000001000",
- "INIT_1": "0100000000101010011000000101000000100001010101110110000000010010010000000010001000100100010110010010000000010110010000000111001100100000010111100100100001111000000000000111110001100001001111110100000100001011011000010000110000100101000110100000000000000011",
- "INIT_2": "0100010000100010001001000001101000000100001101100010010000010010011000000001010000000000001001110000010000110100000000000110011001000000011111100000100001111010010010000111101001001000010001010000110101010000000001000011100000100100000111000000110001101110",
- "INIT_3": "0100010000100110000001000111100001000100000110000000010000111011000001000010100001000100000100100000010000011011010000000011111000000000000111100001000000011010000010110111101001000000000111000000010100111001001001010000100100000100010011000000010001111010",
- "INIT_4": "0100100000010100000001000011111000000000000100100100000000111110001100000000101001000100001000100000000000011000000000000010011000000000010111100100000000111110000001000010011000100000000100000000000001111010000001000111110101000100001111000010010000010111",
- "INIT_5": "0000000101011111000001000011111000000000011111100001000000111011000000110011010100000001000110110000000101110010010000010000111000000000001100110000001000101101000000100011110001000000001100100000000100010101000000010011110101000001001001100000000101100110",
- "INIT_6": "0000000100110111000000010011101100000101001110110100000101010111010000110111000000000001001001100001011100111000010000010101111001000011010110100100010100111110001000100001011101000000011010110100000001101100001000000010111100000000001110000000000100111110",
- "INIT_7": "0100010000101111010000000011001101000101001101010100010100111111010001010001111101000101001010010100000000011110010000000001111001000000000111000100010000111110010001000001111001000100001011100100010000101000000000000010111000000000010110000000010000101010",
- "INIT_8": "0100011001000001000000000111111001000100001110100100100000100010000001000010001000000000010110100100010001001010000101000111011001010100011111100100000000011000010011010011000001110001001010000100000000111111010000000011111101010000001101110100000000010001",
- "INIT_9": "0000000000010111010001000101100000100000011111010010000000001010000000000001111001100000011111010010100001010010010000000101101100100100001011000100000001111110000010000000011000000000010110100000010000011010000000000010101001000100011010110001010001011011",
- "INIT_A": "0000000001111001000001000110010000000010011111110000010000110010010000010010101101000000011101000000110000101111000000000010111000000000001001110000100000111000000001000011111101000000011000100000000001111111010010000110111001000000011111110000100001011110",
- "INIT_B": "0110010000010101010000000010111001000000001111010100010000111000000001000011000101000000011110000100000001111101000000000011110001000000011110010100000000101100000000000011011101000000011110000100000000110101000101000010010000000000001111110100000000111110",
- "INIT_C": "0111001000010011011100000101010000100000010000010010000000001110011000000001111100100110000111000110001001001101001000100000011000100000010101110110010001011100011000000000110100100100000001000010100001011111001001000001110001100100000010110110000000011010",
- "INIT_D": "0010011000011101001000100001000000100010000111110010000000011110001000100001111100101100000100100011100000001111001000000101111000100010000010010010011000010000001000100101100100110010000010100111010000001101001000000101110000100000010101110010000000011110",
- "INIT_E": "0011000000000111001100000000111000100000000100010000010000111110000000100010100100000000001111100000000000110111000001000011101000000100001111110001011000101110000100100000011100000110001111000010111000110101000000000001111000000110001110110000100000010110",
- "INIT_F": "0011001000111101000100000011001100110000000000110011010000001101000000000001110100010000001010110000000000011111000100100000110100010000000011110000000000111101001100000000001100000000001000110010100100110111001000100000110100100100000010110011001000001011",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1753, 1754, 1755, 404, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 407, 1763, 1764, 1765, 1766 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 913 ],
- "WDATA": [ "x", "x", "x", 790, "x", "x", "x", "x", "x", "x", "x", 792, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.6.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0100110100101010010100010000001001111001001110000010010100100000011100010001000001100001000000000011000100010000011111010000100001110101000000100110010100000010001001010000000001101110000000100111110000001000011001000000000101100100000100000111010000010000",
- "INIT_1": "0100010000000000011111010011000001110110010100110010000000100010011000000000000001011101000100000100111101000000010011010000111000011001000100000110100100000000001000010100000001010011000000000011010100110100011101010001000001000001000000000001000101010000",
- "INIT_2": "0111101101000000010110000000000001100011010000000111000001010010010000000100001001110001000000000101110001100010011111010010100001011100000100000111111001100000010111100000000001111100000100000110110001100000010111000100001001011101000000110110111000000010",
- "INIT_3": "0110011001000000011011100100001001011100010000100101111100011001011011000000000001100100011000000111111101100000010111100100000001100100001000000100111000010000010000000000111001010001010000100111001000000010011000110100001001101001011000000101100000100000",
- "INIT_4": "0101100101000000010111100000000001000001000100000100100001000000011101000100011000010001000000100111101101000000011110000000100001110001000000000110000001001000011100110000010001100010010100000100101101000000011011010001000001100110010000100100110000000001",
- "INIT_5": "0101101100000000010010100001000001001011010000000110001101010000001110110100100000000011000000000110001100010000010110110100000001110011001001000101101101000000011110110000000001100011010000000101000100000000011001010010010001101001010000000111110101001010",
- "INIT_6": "0100101100001000010011110001010001110011010000000110001100100000010010110001100001010011000100000110001100100000010110110000000001111111001000000100001100000000010110110010000001000111000001000110101100001000010100110010000001110011001110000000101100000000",
- "INIT_7": "0110000101110000010110110100000001001011010110100110100101000000011100110100000001111011010101100101000101000000010000010100010001011001010010000110000101000000011101110100100001001001010000000110101001000101000010110000000001111110001000010101001101000000",
- "INIT_8": "0100001000001000010110010010100101010010000000100110110100001100010010000100100001010011000001010101000000000001011100100100000001100010000001000110010001000100011100110100101001000101010111000111000101110000011000010100000001100011010000000111001101100010",
- "INIT_9": "0101001000010000010000000000100001000110010000100101100001111000010000000100001001001010000010100101110101100000010000010000010101010001000100000100010100100000000100000000001001111111011001000101000001001000011011000000010001110000000110100111100001001010",
- "INIT_A": "0100011000000100010100100101000001010100010000000100101001000000010101100000010001011110000010100100000001000000000001000000010001001010000010000101110001000100010100000000000001011110000011100101001000000000010100000001000001000000000000000101001000000000",
- "INIT_B": "0001100001010000000111000101000000010010010100100000000001000000000100000000000000010000000010100000000000000000000100000001001001001000000000000101000001011000000000100100000000000100000001000001010000000000000000000000000001010110000101000000101000000000",
- "INIT_C": "0001001001000000010110100100100000010010000001000001101000000100010101000000000001010010010001000100111000000100010000100100010001010010000001000001100000000000010011000000000001001010000000000101111001000000010110100000000001011000000000000001111001000000",
- "INIT_D": "0011100000000010000000000000000000010000000000000001000000011010000101000000000000010100000001000000000000001010000000000100000000010100000101000000110000000000000001000101010000011110000000000001101001000000000111100100000001011110000101000000101000000000",
- "INIT_E": "0001110000100000000100000010100000011100001011000001100000000000000100000000000000011000000000000000100000011010000000100000011000010000000110100000100000000000000110000000110000110000000001000010000000000000001110000000000000111000000101000011010000100000",
- "INIT_F": "0011101000000000001001100001010000011010000010000011001000000000000000000000000100100100000001010010000000100000001100000010001000111001000001000010100000110000001101000010010000100000001000000010100100000011000010000010001000001011001001110001000000100000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1767, 1768, 1769, 410, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 413, 1777, 1778, 1779, 1780 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 913 ],
- "WDATA": [ "x", "x", "x", 794, "x", "x", "x", "x", "x", "x", "x", 796, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.7.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000100001001000000001000000000000011110001110000010010000000100000100000001010000100110000000100011001001010000000010000100100000000010000000100010000000000000001110000000000000010010000000100000100001001000001110010100000000100001010000000011001001010000",
- "INIT_1": "0011000001000000000000100111000000000000010000000000101000000000000001000000000000010110000000000000000001000000000111100100110000000000010100000001001001010000000101000100000000000110001000000011110000110100001100000001000000000000010000000001001001010000",
- "INIT_2": "0000000100000000000000110000000000000100000000000001100001010000001011000101000000100000000000000010000101000000001110000110100000100000010100000010000101100000001000000110000000110000010100000010001101100000001000000100001000100001000000110000001101000000",
- "INIT_3": "0001100101000000000010100000001000100000010000000000100000011001000000010000000000111000011000000011100000100000001100000100000000110001001000000011000000000000000000000000000000110101000001000000001000000010000100110000001000100000011000000000000000000000",
- "INIT_4": "0011101101010000001001110000010000110010000000000000000001000000000101010000010000010100000000000001001000000010000111110100100000110000000000000000000000000000000111000000000000110100000000000000000101000000001000010000000000011011000000100001001000000000",
- "INIT_5": "0010010101000000001110010000000000000000010000000001000001000000000110000100100000000000000000000000000000000000001101000000000000010000001000000011100000100000001100000100000000011000010000000011000000000000000100000000010000110000010000000000110000001100",
- "INIT_6": "0000100000001000000001000000010000010000010000000010000001100000000010000100100000110000000100000010000001100000000000000000000000100100011001000000100001000000001000000000000000000100010001000001110001000100000011010000000000101001011110000001000000110000",
- "INIT_7": "0100000000000000010100000000010001001010000010100101000000000000010001000000000001010110000100100100101000000000011000000000000001001100000001000100100000000000010010000000100001000000000000000111000100100000000010000010000000100101011001000000000001000000",
- "INIT_8": "0000001001000010001110010100100100000000010001100011111001001110001010010100100000101101010000000000000001000000000111000100000000001000010001000100110000000100010010100000001001011100000001000101100000111000011000100001100001001000000000000111001000100010",
- "INIT_9": "0000100001010000000010000100000000011010010100100001001001011000000010010100000000010111010010100000100001001000000010000100010100010000010100000000100101000000001000010000010000101110011011000000110001000000000011010100110000011000010100000001100101001000",
- "INIT_A": "0000011001010100000110100101000000001000010000000000101001000100000001000100010000001010010010100000100001000000000111100000010000001000010010000000010001000100000000000100000000001110010011100000000001000000000111100101001000011100010000000001000001010000",
- "INIT_B": "0001001000010000000100000001000000001010000001100000100000000000000100100000000000000000000000000000100000000000000101000001000000000000010100000001000001010000000011000100000000000100010001000000101001000000000110000000000000010110000101000000100001000000",
- "INIT_C": "0000000000000000010010000100100000001100000000000000110000000000000000000000000000000100000000000000010000000100000111000100010000010100010000000000010001000000000100000100000000000010000000000000000001001000000000100000000000001010000000000001001000000000",
- "INIT_D": "0000001000100010000000000000000000010100000000000001010000010000000010000000000000000100000001000000000000000010000010100000000000011110000001000000001000000000000011000001010000000000000000000000010000000000000001000000000000010100000001000000000000000000",
- "INIT_E": "0000000000000000000000100000000000001100000011000000100000000000000000000000000000000110000000000001111000001010000100100000011000001000000100000000001000000000000010000000100000010100000000000001001000100000000000000000000000010100001000000000000000000000",
- "INIT_F": "0001010000100000000101010010010100011001001010000001010000000000000101010000001100001100001001000000100000100000000101000010000000010100000000010000000000110000000111100010010000000010001000000001000100100001000101100000001000000111000000110000000000000100",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1781, 1782, 1783, 416, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 419, 1791, 1792, 1793, 1794 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 913 ],
- "WDATA": [ "x", "x", "x", 798, "x", "x", "x", "x", "x", "x", "x", 800, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.8.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000100001011101010010000100000101111100001110010010100000000111011100000011000100100010001000110101001001010111000110100111111101000010011001110110000000101101001100000011010101010010001111110010100001001100001100010011010000000001000001000011000000111100",
- "INIT_1": "0100000000001100000000000011110001000000000111110010001000000110010000000000100000010100000111010100000001001010000111100001111100010000010110000101010001111001011100000011100101000110000010110011010000111101011100000011010100000000010011110001010001011011",
- "INIT_2": "0000000100011001000000010001101100000100001010000001010001110011011000000010010000010000000111110110000101101100001110000011111101000000000111000110000001101110010000000100111001010000010111110010000100111100010000000001110000100001011111000000001100011111",
- "INIT_3": "0010100101101100010010100000111000110000001111000001000000010101000000010010010000111000011011100111100000111100000100000001110000110000001101000011000000100100000000010001111100110101001101010000001100001010000000110010001100100000001110010000000000010011",
- "INIT_4": "0001101100011010001001110011001000010010010101100000001001001010010101010011111000010100000011010011001100110011000010010111101100110001001111110000000100110001000000000011001000110001000110110110000100111110001100010001111000010011001101100000000000111111",
- "INIT_5": "0010100101111011001110010011110100000000011000100101000101100101010110010011101100000001001100010001000100110001000100010000100100000001001111010011100100111001011000010011010101010001000101010000000100011001000000010101010100100001011010110100110100011001",
- "INIT_6": "0010100101101011000001010101110100010001010101110010000100101001000010010001111101110001001110010010000100110111010010010001100101101101011110110100100100011101001000010001101100001101000101010000110100000111011010010011100000111001001110100001100100011001",
- "INIT_7": "0000000001000011000100000101100100001010011110110001100001111111000000000110000100011010011111110000100001111001000010000101010100001000011000010000100001111111000010000111110100001000010111110010100101101110000010000001100101100101001100100000000000010101",
- "INIT_8": "0101101001011110000110010000100001000010010011000110011001101110011010000111100000000001000111000100000100111111010100000111011001011000011011100000110001101111000110100101101100111100011011010001000001001011001100000111110100010000010100010011001001111011",
- "INIT_9": "0010000000000010000010000100111000001010000011100101100001010010000010000101100001001011000000110100000001000101010011000101100100011001000101010000100100011110000001010001000000100110001111100000011000111010010001100111111001010010001111010101000101111100",
- "INIT_A": "0010011000100110001100100101011000100010000111100110111000011010011001000001010000101110010111100010110001011100001011000000111000101000010111000100110001111100010010000111101000001100011111100000100000100000000110100101101000001000010011000111100001110100",
- "INIT_B": "0000000000001100001100000011110000101110001110100010110000111010001100100011100000100000001010000010100000101010001100000011100001100000011000000111010001111100001000000010001001100100011101100110010001100100001101100011111000110110011101100110100000111100",
- "INIT_C": "0000000000011010010011000101111000001000000111100000110000011110010000000001010000000100000100100000010001010010000001000100011000010000000111100100000001001110000000100100111001000010000110100000000001010100010000000001101001001010000110100000100000001110",
- "INIT_D": "0010001000110000000000000001000000010100000100000001001000010010000110000000110000010110000011100001000000010000000100100001001000001110000111000000000000011110000011000001111000010000000011100001010000001010000001000000001000010100000011100000000000000110",
- "INIT_E": "0000000000011100000000100001101000001110000111000000100000011010000000000001101000010010000100000001100000011000000100000001001000011010000110100000010000000110000010000000110000110000001101100000100000011010001010000010100000010000000001000010101000011100",
- "INIT_F": "0001000000011011000001010000111100011001001110110011000100011011000000010000000100001100001011000000100000011000000000100011101000110001001101010000001000100000001101000011010000100010000010000010010100111111000000000000101100000001000111010000000000010110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1795, 1796, 1797, 422, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 425, 1805, 1806, 1807, 1808 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 914 ],
- "WDATA": [ "x", "x", "x", 802, "x", "x", "x", "x", "x", "x", "x", 804, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- },
- "ram.ram0.mem.9.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0010100001011000011011000000010001011100001110000000110000100110010100000011010000000000001000100111000001010010000010000101101001000000000010100100100000101000000000000011100001001000000110100010100000101000010010000111100000100000000010000001100001111000",
- "INIT_1": "0000000000100000001000000101000000000000001100110010000000000010010000000010000000000000010101000100000001000010000011000011111000000000001101000000010001010000010000000111010001000100000010100011010000111100010100000011000000100100000010100001010000010010",
- "INIT_2": "0000000000100000000000000011001001000000000101000010000000010110010000000011010000000000001101100000000000110000000010000111101001000000011100000000000000110000000000000011000000010000011100000110000001110000010000000010000001000000011000000000000000100010",
- "INIT_3": "0000000001101000010000100000101000000000001110000000000000011011000000000110100000100000011110100110000000111000000000000011101000100000001100100000000001111000010000000011111000000100001110000000001100101011011000100000001000100000001000000100000000100010",
- "INIT_4": "0000000000011010000000000011011000000000000101100000000001000010010001000011111000000100000100000100000000110110000010000011111001000000001111100000000000110000000001000011010001000000001100000000000001110000010000000011000000000010001110100000000000110011",
- "INIT_5": "0000000000111100000000000111111001000000001000000000000000010110000010000001100000000000000100100100000000110110000000000001011000000000001101100100000000111010000000000111011001000000000101100000000000010000000000000001010000000000011000100100100000011100",
- "INIT_6": "0000100000101000000001000101011000000000011101000010000000110010000010000111110000010000011100100000000001110100010000000000101000100000011111000100000001111110000000000010000000000100000011100000010001101000000000000111111000000000011111000000000000111010",
- "INIT_7": "0100010001110110010000000101000001001010011110100100010001011010010001000100010001010110010110100100000001001010010000000101111001000100010011000100010001011110010001000100110001000100011011100100000001101100000000000010101001100000011001000000000001100110",
- "INIT_8": "0000010000011010000000000011100000000100011010100000010000101110000010000010100000000100010010000100000001001110000001000001000000000100000110000100010001001100010000100111101001000100011111000100000001111010010000000111111001000000010100000110001001110010",
- "INIT_9": "0000000001010000000001000000100000000010000110100101000001011110000000000101100001000010010111100000100000000001000000000001111101010100010111000100010001011110000001000000000001100100011011100000010000001010000001000000111001010100010110110000010000011000",
- "INIT_A": "0000010001110110000101000111011000000100001011100000010000111010010001000110010000001010011011100000000001101100000000000010111000001100011011000000010000101100000000000010101000001100001011100000000001111100010100000011111001000100001110000000010000011000",
- "INIT_B": "0010010000010100000101000011010000000110001110100000010000111000000000000011000000000000001011000000000000111000000000000011110001000000011101000001010000111000000000000111010000000100001101000000000000100100000000000011110000000000011101100000010000111100",
- "INIT_C": "0010000000000000011011000100100000100100000011000010000000001100011000000000100000100000000011000010000000001100011000000100010000100100000101000010010000000100011000000000000001100100000000100110000000001000001000000000001001100000000010100010000000011010",
- "INIT_D": "0000011000001100001000000000010000100000000101000010001000011100001000000001100000100000000111100010000000001000001000000001101000100100000111100010000000010010001001000001111000100000000100000010000000010100001000000000010000100000000101000010010000000100",
- "INIT_E": "0000000000000000000001100000100000001110000011000000010000001110000010100000010000000110000111000000100000011110000000100001100000000000000110100001001000001100000011000000100000000110000110000000001000111000000000000010100000100100001111000000000000001010",
- "INIT_F": "0010000000110101001101000010010100001000001111010010010000011101000100000000010100100100000011000000000000011010000000100011110000000100000100010011001000000000000001000001011000100010001001000010011000010011000000100000010000000100000000110000011000001010",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "RCLK": [ 2 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 1809, 1810, 1811, 428, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 431, 1819, 1820, 1821, 1822 ],
- "RE": [ "1" ],
- "WADDR": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "WCLK": [ 2 ],
- "WCLKE": [ 914 ],
- "WDATA": [ "x", "x", "x", 806, "x", "x", "x", "x", "x", "x", "x", 808, "x", "x", "x", "x" ],
- "WE": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\canary[0:0]": {
- "hide_name": 1,
- "bits": [ 975 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:25"
- }
- },
- "$abc$13728$n0": {
- "hide_name": 1,
- "bits": [ 956 ],
- "attributes": {
- }
- },
- "$abc$13728$n1": {
- "hide_name": 1,
- "bits": [ 957 ],
- "attributes": {
- }
- },
- "$abc$13728$n10": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$13728$n1000": {
- "hide_name": 1,
- "bits": [ 721 ],
- "attributes": {
- }
- },
- "$abc$13728$n1000_1": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$13728$n1001": {
- "hide_name": 1,
- "bits": [ 722 ],
- "attributes": {
- }
- },
- "$abc$13728$n1001_1": {
- "hide_name": 1,
- "bits": [ 249 ],
- "attributes": {
- }
- },
- "$abc$13728$n1002": {
- "hide_name": 1,
- "bits": [ 723 ],
- "attributes": {
- }
- },
- "$abc$13728$n1002_1": {
- "hide_name": 1,
- "bits": [ 250 ],
- "attributes": {
- }
- },
- "$abc$13728$n1003": {
- "hide_name": 1,
- "bits": [ 724 ],
- "attributes": {
- }
- },
- "$abc$13728$n1004": {
- "hide_name": 1,
- "bits": [ 725 ],
- "attributes": {
- }
- },
- "$abc$13728$n1005": {
- "hide_name": 1,
- "bits": [ 726 ],
- "attributes": {
- }
- },
- "$abc$13728$n1006": {
- "hide_name": 1,
- "bits": [ 727 ],
- "attributes": {
- }
- },
- "$abc$13728$n1007": {
- "hide_name": 1,
- "bits": [ 728 ],
- "attributes": {
- }
- },
- "$abc$13728$n1008": {
- "hide_name": 1,
- "bits": [ 729 ],
- "attributes": {
- }
- },
- "$abc$13728$n1009": {
- "hide_name": 1,
- "bits": [ 730 ],
- "attributes": {
- }
- },
- "$abc$13728$n1010": {
- "hide_name": 1,
- "bits": [ 731 ],
- "attributes": {
- }
- },
- "$abc$13728$n1010_1": {
- "hide_name": 1,
- "bits": [ 262 ],
- "attributes": {
- }
- },
- "$abc$13728$n1011": {
- "hide_name": 1,
- "bits": [ 732 ],
- "attributes": {
- }
- },
- "$abc$13728$n1011_1": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$13728$n1012": {
- "hide_name": 1,
- "bits": [ 733 ],
- "attributes": {
- }
- },
- "$abc$13728$n1012_1": {
- "hide_name": 1,
- "bits": [ 269 ],
- "attributes": {
- }
- },
- "$abc$13728$n1013": {
- "hide_name": 1,
- "bits": [ 734 ],
- "attributes": {
- }
- },
- "$abc$13728$n1013_1": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$13728$n1014": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$13728$n1015": {
- "hide_name": 1,
- "bits": [ 274 ],
- "attributes": {
- }
- },
- "$abc$13728$n1016": {
- "hide_name": 1,
- "bits": [ 276 ],
- "attributes": {
- }
- },
- "$abc$13728$n1017": {
- "hide_name": 1,
- "bits": [ 278 ],
- "attributes": {
- }
- },
- "$abc$13728$n1018": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$13728$n1019": {
- "hide_name": 1,
- "bits": [ 275 ],
- "attributes": {
- }
- },
- "$abc$13728$n1020": {
- "hide_name": 1,
- "bits": [ 272 ],
- "attributes": {
- }
- },
- "$abc$13728$n1021": {
- "hide_name": 1,
- "bits": [ 279 ],
- "attributes": {
- }
- },
- "$abc$13728$n1022": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$13728$n1023": {
- "hide_name": 1,
- "bits": [ 268 ],
- "attributes": {
- }
- },
- "$abc$13728$n1024": {
- "hide_name": 1,
- "bits": [ 283 ],
- "attributes": {
- }
- },
- "$abc$13728$n1025": {
- "hide_name": 1,
- "bits": [ 284 ],
- "attributes": {
- }
- },
- "$abc$13728$n1026": {
- "hide_name": 1,
- "bits": [ 264 ],
- "attributes": {
- }
- },
- "$abc$13728$n1029": {
- "hide_name": 1,
- "bits": [ 286 ],
- "attributes": {
- }
- },
- "$abc$13728$n1031": {
- "hide_name": 1,
- "bits": [ 736 ],
- "attributes": {
- }
- },
- "$abc$13728$n1031_1": {
- "hide_name": 1,
- "bits": [ 288 ],
- "attributes": {
- }
- },
- "$abc$13728$n1032": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$13728$n1033": {
- "hide_name": 1,
- "bits": [ 294 ],
- "attributes": {
- }
- },
- "$abc$13728$n1034": {
- "hide_name": 1,
- "bits": [ 292 ],
- "attributes": {
- }
- },
- "$abc$13728$n1035": {
- "hide_name": 1,
- "bits": [ 291 ],
- "attributes": {
- }
- },
- "$abc$13728$n1036": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$13728$n1037": {
- "hide_name": 1,
- "bits": [ 298 ],
- "attributes": {
- }
- },
- "$abc$13728$n1038": {
- "hide_name": 1,
- "bits": [ 299 ],
- "attributes": {
- }
- },
- "$abc$13728$n1039": {
- "hide_name": 1,
- "bits": [ 300 ],
- "attributes": {
- }
- },
- "$abc$13728$n1040": {
- "hide_name": 1,
- "bits": [ 301 ],
- "attributes": {
- }
- },
- "$abc$13728$n1048": {
- "hide_name": 1,
- "bits": [ 310 ],
- "attributes": {
- }
- },
- "$abc$13728$n1052": {
- "hide_name": 1,
- "bits": [ 320 ],
- "attributes": {
- }
- },
- "$abc$13728$n1054": {
- "hide_name": 1,
- "bits": [ 322 ],
- "attributes": {
- }
- },
- "$abc$13728$n1055": {
- "hide_name": 1,
- "bits": [ 326 ],
- "attributes": {
- }
- },
- "$abc$13728$n1056": {
- "hide_name": 1,
- "bits": [ 328 ],
- "attributes": {
- }
- },
- "$abc$13728$n1057": {
- "hide_name": 1,
- "bits": [ 329 ],
- "attributes": {
- }
- },
- "$abc$13728$n1058": {
- "hide_name": 1,
- "bits": [ 330 ],
- "attributes": {
- }
- },
- "$abc$13728$n1059": {
- "hide_name": 1,
- "bits": [ 327 ],
- "attributes": {
- }
- },
- "$abc$13728$n1061": {
- "hide_name": 1,
- "bits": [ 345 ],
- "attributes": {
- }
- },
- "$abc$13728$n1064": {
- "hide_name": 1,
- "bits": [ 350 ],
- "attributes": {
- }
- },
- "$abc$13728$n1065": {
- "hide_name": 1,
- "bits": [ 1059 ],
- "attributes": {
- }
- },
- "$abc$13728$n1065_1": {
- "hide_name": 1,
- "bits": [ 348 ],
- "attributes": {
- }
- },
- "$abc$13728$n1066": {
- "hide_name": 1,
- "bits": [ 349 ],
- "attributes": {
- }
- },
- "$abc$13728$n1068": {
- "hide_name": 1,
- "bits": [ 113 ],
- "attributes": {
- }
- },
- "$abc$13728$n1069": {
- "hide_name": 1,
- "bits": [ 354 ],
- "attributes": {
- }
- },
- "$abc$13728$n1070": {
- "hide_name": 1,
- "bits": [ 30 ],
- "attributes": {
- }
- },
- "$abc$13728$n1070_1": {
- "hide_name": 1,
- "bits": [ 352 ],
- "attributes": {
- }
- },
- "$abc$13728$n1071": {
- "hide_name": 1,
- "bits": [ 356 ],
- "attributes": {
- }
- },
- "$abc$13728$n1072": {
- "hide_name": 1,
- "bits": [ 112 ],
- "attributes": {
- }
- },
- "$abc$13728$n1072_1": {
- "hide_name": 1,
- "bits": [ 358 ],
- "attributes": {
- }
- },
- "$abc$13728$n1073": {
- "hide_name": 1,
- "bits": [ 360 ],
- "attributes": {
- }
- },
- "$abc$13728$n1074": {
- "hide_name": 1,
- "bits": [ 357 ],
- "attributes": {
- }
- },
- "$abc$13728$n1075": {
- "hide_name": 1,
- "bits": [ 353 ],
- "attributes": {
- }
- },
- "$abc$13728$n1076": {
- "hide_name": 1,
- "bits": [ 366 ],
- "attributes": {
- }
- },
- "$abc$13728$n1078": {
- "hide_name": 1,
- "bits": [ 367 ],
- "attributes": {
- }
- },
- "$abc$13728$n1079": {
- "hide_name": 1,
- "bits": [ 368 ],
- "attributes": {
- }
- },
- "$abc$13728$n1083_1": {
- "hide_name": 1,
- "bits": [ 374 ],
- "attributes": {
- }
- },
- "$abc$13728$n1086": {
- "hide_name": 1,
- "bits": [ 27 ],
- "attributes": {
- }
- },
- "$abc$13728$n1116": {
- "hide_name": 1,
- "bits": [ 469 ],
- "attributes": {
- }
- },
- "$abc$13728$n1117": {
- "hide_name": 1,
- "bits": [ 474 ],
- "attributes": {
- }
- },
- "$abc$13728$n1118": {
- "hide_name": 1,
- "bits": [ 476 ],
- "attributes": {
- }
- },
- "$abc$13728$n1119": {
- "hide_name": 1,
- "bits": [ 480 ],
- "attributes": {
- }
- },
- "$abc$13728$n1120": {
- "hide_name": 1,
- "bits": [ 479 ],
- "attributes": {
- }
- },
- "$abc$13728$n1121": {
- "hide_name": 1,
- "bits": [ 477 ],
- "attributes": {
- }
- },
- "$abc$13728$n1129": {
- "hide_name": 1,
- "bits": [ 484 ],
- "attributes": {
- }
- },
- "$abc$13728$n1143_1": {
- "hide_name": 1,
- "bits": [ 487 ],
- "attributes": {
- }
- },
- "$abc$13728$n1144": {
- "hide_name": 1,
- "bits": [ 740 ],
- "attributes": {
- }
- },
- "$abc$13728$n1144_1": {
- "hide_name": 1,
- "bits": [ 490 ],
- "attributes": {
- }
- },
- "$abc$13728$n1146": {
- "hide_name": 1,
- "bits": [ 743 ],
- "attributes": {
- }
- },
- "$abc$13728$n1146_1": {
- "hide_name": 1,
- "bits": [ 493 ],
- "attributes": {
- }
- },
- "$abc$13728$n1147_1": {
- "hide_name": 1,
- "bits": [ 496 ],
- "attributes": {
- }
- },
- "$abc$13728$n1148": {
- "hide_name": 1,
- "bits": [ 745 ],
- "attributes": {
- }
- },
- "$abc$13728$n1150": {
- "hide_name": 1,
- "bits": [ 747 ],
- "attributes": {
- }
- },
- "$abc$13728$n1152": {
- "hide_name": 1,
- "bits": [ 755 ],
- "attributes": {
- }
- },
- "$abc$13728$n1154": {
- "hide_name": 1,
- "bits": [ 757 ],
- "attributes": {
- }
- },
- "$abc$13728$n1154_1": {
- "hide_name": 1,
- "bits": [ 499 ],
- "attributes": {
- }
- },
- "$abc$13728$n1155_1": {
- "hide_name": 1,
- "bits": [ 502 ],
- "attributes": {
- }
- },
- "$abc$13728$n1158": {
- "hide_name": 1,
- "bits": [ 505 ],
- "attributes": {
- }
- },
- "$abc$13728$n1161_1": {
- "hide_name": 1,
- "bits": [ 508 ],
- "attributes": {
- }
- },
- "$abc$13728$n1162_1": {
- "hide_name": 1,
- "bits": [ 512 ],
- "attributes": {
- }
- },
- "$abc$13728$n1163": {
- "hide_name": 1,
- "bits": [ 510 ],
- "attributes": {
- }
- },
- "$abc$13728$n1164": {
- "hide_name": 1,
- "bits": [ 472 ],
- "attributes": {
- }
- },
- "$abc$13728$n1165": {
- "hide_name": 1,
- "bits": [ 518 ],
- "attributes": {
- }
- },
- "$abc$13728$n1166": {
- "hide_name": 1,
- "bits": [ 521 ],
- "attributes": {
- }
- },
- "$abc$13728$n1168": {
- "hide_name": 1,
- "bits": [ 522 ],
- "attributes": {
- }
- },
- "$abc$13728$n1169": {
- "hide_name": 1,
- "bits": [ 520 ],
- "attributes": {
- }
- },
- "$abc$13728$n1170": {
- "hide_name": 1,
- "bits": [ 526 ],
- "attributes": {
- }
- },
- "$abc$13728$n1171": {
- "hide_name": 1,
- "bits": [ 519 ],
- "attributes": {
- }
- },
- "$abc$13728$n1172_1": {
- "hide_name": 1,
- "bits": [ 517 ],
- "attributes": {
- }
- },
- "$abc$13728$n1173": {
- "hide_name": 1,
- "bits": [ 471 ],
- "attributes": {
- }
- },
- "$abc$13728$n1174": {
- "hide_name": 1,
- "bits": [ 760 ],
- "attributes": {
- }
- },
- "$abc$13728$n1174_1": {
- "hide_name": 1,
- "bits": [ 530 ],
- "attributes": {
- }
- },
- "$abc$13728$n1175": {
- "hide_name": 1,
- "bits": [ 761 ],
- "attributes": {
- }
- },
- "$abc$13728$n1175_1": {
- "hide_name": 1,
- "bits": [ 531 ],
- "attributes": {
- }
- },
- "$abc$13728$n1176": {
- "hide_name": 1,
- "bits": [ 533 ],
- "attributes": {
- }
- },
- "$abc$13728$n1177": {
- "hide_name": 1,
- "bits": [ 762 ],
- "attributes": {
- }
- },
- "$abc$13728$n1177_1": {
- "hide_name": 1,
- "bits": [ 535 ],
- "attributes": {
- }
- },
- "$abc$13728$n1178": {
- "hide_name": 1,
- "bits": [ 763 ],
- "attributes": {
- }
- },
- "$abc$13728$n1178_1": {
- "hide_name": 1,
- "bits": [ 541 ],
- "attributes": {
- }
- },
- "$abc$13728$n1179": {
- "hide_name": 1,
- "bits": [ 764 ],
- "attributes": {
- }
- },
- "$abc$13728$n1179_1": {
- "hide_name": 1,
- "bits": [ 539 ],
- "attributes": {
- }
- },
- "$abc$13728$n1180": {
- "hide_name": 1,
- "bits": [ 765 ],
- "attributes": {
- }
- },
- "$abc$13728$n1180_1": {
- "hide_name": 1,
- "bits": [ 540 ],
- "attributes": {
- }
- },
- "$abc$13728$n1181": {
- "hide_name": 1,
- "bits": [ 766 ],
- "attributes": {
- }
- },
- "$abc$13728$n1181_1": {
- "hide_name": 1,
- "bits": [ 534 ],
- "attributes": {
- }
- },
- "$abc$13728$n1182": {
- "hide_name": 1,
- "bits": [ 767 ],
- "attributes": {
- }
- },
- "$abc$13728$n1182_1": {
- "hide_name": 1,
- "bits": [ 537 ],
- "attributes": {
- }
- },
- "$abc$13728$n1183": {
- "hide_name": 1,
- "bits": [ 768 ],
- "attributes": {
- }
- },
- "$abc$13728$n1183_1": {
- "hide_name": 1,
- "bits": [ 532 ],
- "attributes": {
- }
- },
- "$abc$13728$n1185": {
- "hide_name": 1,
- "bits": [ 1032 ],
- "attributes": {
- }
- },
- "$abc$13728$n1185_1": {
- "hide_name": 1,
- "bits": [ 549 ],
- "attributes": {
- }
- },
- "$abc$13728$n1186": {
- "hide_name": 1,
- "bits": [ 550 ],
- "attributes": {
- }
- },
- "$abc$13728$n1187": {
- "hide_name": 1,
- "bits": [ 548 ],
- "attributes": {
- }
- },
- "$abc$13728$n1188": {
- "hide_name": 1,
- "bits": [ 552 ],
- "attributes": {
- }
- },
- "$abc$13728$n1191": {
- "hide_name": 1,
- "bits": [ 555 ],
- "attributes": {
- }
- },
- "$abc$13728$n12": {
- "hide_name": 1,
- "bits": [ 10 ],
- "attributes": {
- }
- },
- "$abc$13728$n1201": {
- "hide_name": 1,
- "bits": [ 561 ],
- "attributes": {
- }
- },
- "$abc$13728$n1211": {
- "hide_name": 1,
- "bits": [ 566 ],
- "attributes": {
- }
- },
- "$abc$13728$n1221": {
- "hide_name": 1,
- "bits": [ 571 ],
- "attributes": {
- }
- },
- "$abc$13728$n1231": {
- "hide_name": 1,
- "bits": [ 576 ],
- "attributes": {
- }
- },
- "$abc$13728$n1232": {
- "hide_name": 1,
- "bits": [ 579 ],
- "attributes": {
- }
- },
- "$abc$13728$n1233": {
- "hide_name": 1,
- "bits": [ 582 ],
- "attributes": {
- }
- },
- "$abc$13728$n1234": {
- "hide_name": 1,
- "bits": [ 580 ],
- "attributes": {
- }
- },
- "$abc$13728$n1235": {
- "hide_name": 1,
- "bits": [ 581 ],
- "attributes": {
- }
- },
- "$abc$13728$n1241": {
- "hide_name": 1,
- "bits": [ 588 ],
- "attributes": {
- }
- },
- "$abc$13728$n1251": {
- "hide_name": 1,
- "bits": [ 593 ],
- "attributes": {
- }
- },
- "$abc$13728$n1261": {
- "hide_name": 1,
- "bits": [ 598 ],
- "attributes": {
- }
- },
- "$abc$13728$n1262": {
- "hide_name": 1,
- "bits": [ 601 ],
- "attributes": {
- }
- },
- "$abc$13728$n1263": {
- "hide_name": 1,
- "bits": [ 604 ],
- "attributes": {
- }
- },
- "$abc$13728$n1264": {
- "hide_name": 1,
- "bits": [ 602 ],
- "attributes": {
- }
- },
- "$abc$13728$n1265": {
- "hide_name": 1,
- "bits": [ 603 ],
- "attributes": {
- }
- },
- "$abc$13728$n1277": {
- "hide_name": 1,
- "bits": [ 614 ],
- "attributes": {
- }
- },
- "$abc$13728$n1278": {
- "hide_name": 1,
- "bits": [ 613 ],
- "attributes": {
- }
- },
- "$abc$13728$n1283": {
- "hide_name": 1,
- "bits": [ 616 ],
- "attributes": {
- }
- },
- "$abc$13728$n1284": {
- "hide_name": 1,
- "bits": [ 609 ],
- "attributes": {
- }
- },
- "$abc$13728$n1285": {
- "hide_name": 1,
- "bits": [ 611 ],
- "attributes": {
- }
- },
- "$abc$13728$n1297_1": {
- "hide_name": 1,
- "bits": [ 618 ],
- "attributes": {
- }
- },
- "$abc$13728$n1309_1": {
- "hide_name": 1,
- "bits": [ 622 ],
- "attributes": {
- }
- },
- "$abc$13728$n1321_1": {
- "hide_name": 1,
- "bits": [ 626 ],
- "attributes": {
- }
- },
- "$abc$13728$n1323_1": {
- "hide_name": 1,
- "bits": [ 631 ],
- "attributes": {
- }
- },
- "$abc$13728$n1328": {
- "hide_name": 1,
- "bits": [ 630 ],
- "attributes": {
- }
- },
- "$abc$13728$n1329_1": {
- "hide_name": 1,
- "bits": [ 635 ],
- "attributes": {
- }
- },
- "$abc$13728$n1330_1": {
- "hide_name": 1,
- "bits": [ 638 ],
- "attributes": {
- }
- },
- "$abc$13728$n1331": {
- "hide_name": 1,
- "bits": [ 636 ],
- "attributes": {
- }
- },
- "$abc$13728$n1332_1": {
- "hide_name": 1,
- "bits": [ 637 ],
- "attributes": {
- }
- },
- "$abc$13728$n1333_1": {
- "hide_name": 1,
- "bits": [ 632 ],
- "attributes": {
- }
- },
- "$abc$13728$n1345": {
- "hide_name": 1,
- "bits": [ 644 ],
- "attributes": {
- }
- },
- "$abc$13728$n1357": {
- "hide_name": 1,
- "bits": [ 648 ],
- "attributes": {
- }
- },
- "$abc$13728$n1359": {
- "hide_name": 1,
- "bits": [ 653 ],
- "attributes": {
- }
- },
- "$abc$13728$n1364": {
- "hide_name": 1,
- "bits": [ 652 ],
- "attributes": {
- }
- },
- "$abc$13728$n1365": {
- "hide_name": 1,
- "bits": [ 657 ],
- "attributes": {
- }
- },
- "$abc$13728$n1366": {
- "hide_name": 1,
- "bits": [ 660 ],
- "attributes": {
- }
- },
- "$abc$13728$n1367": {
- "hide_name": 1,
- "bits": [ 658 ],
- "attributes": {
- }
- },
- "$abc$13728$n1368": {
- "hide_name": 1,
- "bits": [ 659 ],
- "attributes": {
- }
- },
- "$abc$13728$n1369": {
- "hide_name": 1,
- "bits": [ 654 ],
- "attributes": {
- }
- },
- "$abc$13728$n1387_1": {
- "hide_name": 1,
- "bits": [ 703 ],
- "attributes": {
- }
- },
- "$abc$13728$n1389_1": {
- "hide_name": 1,
- "bits": [ 705 ],
- "attributes": {
- }
- },
- "$abc$13728$n1391_1": {
- "hide_name": 1,
- "bits": [ 707 ],
- "attributes": {
- }
- },
- "$abc$13728$n1393_1": {
- "hide_name": 1,
- "bits": [ 709 ],
- "attributes": {
- }
- },
- "$abc$13728$n1395_1": {
- "hide_name": 1,
- "bits": [ 711 ],
- "attributes": {
- }
- },
- "$abc$13728$n1397_1": {
- "hide_name": 1,
- "bits": [ 713 ],
- "attributes": {
- }
- },
- "$abc$13728$n1399_1": {
- "hide_name": 1,
- "bits": [ 715 ],
- "attributes": {
- }
- },
- "$abc$13728$n1401_1": {
- "hide_name": 1,
- "bits": [ 717 ],
- "attributes": {
- }
- },
- "$abc$13728$n1419_1": {
- "hide_name": 1,
- "bits": [ 735 ],
- "attributes": {
- }
- },
- "$abc$13728$n1423_1": {
- "hide_name": 1,
- "bits": [ 742 ],
- "attributes": {
- }
- },
- "$abc$13728$n1427_1": {
- "hide_name": 1,
- "bits": [ 749 ],
- "attributes": {
- }
- },
- "$abc$13728$n1431_1": {
- "hide_name": 1,
- "bits": [ 753 ],
- "attributes": {
- }
- },
- "$abc$13728$n1432_1": {
- "hide_name": 1,
- "bits": [ 751 ],
- "attributes": {
- }
- },
- "$abc$13728$n1438_1": {
- "hide_name": 1,
- "bits": [ 754 ],
- "attributes": {
- }
- },
- "$abc$13728$n1441_1": {
- "hide_name": 1,
- "bits": [ 758 ],
- "attributes": {
- }
- },
- "$abc$13728$n1491_1": {
- "hide_name": 1,
- "bits": [ 856 ],
- "attributes": {
- }
- },
- "$abc$13728$n1493": {
- "hide_name": 1,
- "bits": [ 862 ],
- "attributes": {
- }
- },
- "$abc$13728$n1495_1": {
- "hide_name": 1,
- "bits": [ 864 ],
- "attributes": {
- }
- },
- "$abc$13728$n1497": {
- "hide_name": 1,
- "bits": [ 866 ],
- "attributes": {
- }
- },
- "$abc$13728$n1499": {
- "hide_name": 1,
- "bits": [ 868 ],
- "attributes": {
- }
- },
- "$abc$13728$n15": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$13728$n1501": {
- "hide_name": 1,
- "bits": [ 870 ],
- "attributes": {
- }
- },
- "$abc$13728$n1503": {
- "hide_name": 1,
- "bits": [ 872 ],
- "attributes": {
- }
- },
- "$abc$13728$n1505": {
- "hide_name": 1,
- "bits": [ 874 ],
- "attributes": {
- }
- },
- "$abc$13728$n1507": {
- "hide_name": 1,
- "bits": [ 876 ],
- "attributes": {
- }
- },
- "$abc$13728$n1509": {
- "hide_name": 1,
- "bits": [ 878 ],
- "attributes": {
- }
- },
- "$abc$13728$n1511": {
- "hide_name": 1,
- "bits": [ 880 ],
- "attributes": {
- }
- },
- "$abc$13728$n1513": {
- "hide_name": 1,
- "bits": [ 882 ],
- "attributes": {
- }
- },
- "$abc$13728$n1515": {
- "hide_name": 1,
- "bits": [ 884 ],
- "attributes": {
- }
- },
- "$abc$13728$n1517": {
- "hide_name": 1,
- "bits": [ 886 ],
- "attributes": {
- }
- },
- "$abc$13728$n1519_1": {
- "hide_name": 1,
- "bits": [ 888 ],
- "attributes": {
- }
- },
- "$abc$13728$n1521_1": {
- "hide_name": 1,
- "bits": [ 890 ],
- "attributes": {
- }
- },
- "$abc$13728$n1539_1": {
- "hide_name": 1,
- "bits": [ 909 ],
- "attributes": {
- }
- },
- "$abc$13728$n1540_1": {
- "hide_name": 1,
- "bits": [ 911 ],
- "attributes": {
- }
- },
- "$abc$13728$n1541": {
- "hide_name": 1,
- "bits": [ 908 ],
- "attributes": {
- }
- },
- "$abc$13728$n1543": {
- "hide_name": 1,
- "bits": [ 912 ],
- "attributes": {
- }
- },
- "$abc$13728$n1546": {
- "hide_name": 1,
- "bits": [ 915 ],
- "attributes": {
- }
- },
- "$abc$13728$n1547": {
- "hide_name": 1,
- "bits": [ 42 ],
- "attributes": {
- }
- },
- "$abc$13728$n1561": {
- "hide_name": 1,
- "bits": [ 937 ],
- "attributes": {
- }
- },
- "$abc$13728$n1575": {
- "hide_name": 1,
- "bits": [ 954 ],
- "attributes": {
- }
- },
- "$abc$13728$n1579": {
- "hide_name": 1,
- "bits": [ 1246 ],
- "attributes": {
- }
- },
- "$abc$13728$n1582": {
- "hide_name": 1,
- "bits": [ 77 ],
- "attributes": {
- }
- },
- "$abc$13728$n1597": {
- "hide_name": 1,
- "bits": [ 976 ],
- "attributes": {
- }
- },
- "$abc$13728$n1598": {
- "hide_name": 1,
- "bits": [ 977 ],
- "attributes": {
- }
- },
- "$abc$13728$n1599": {
- "hide_name": 1,
- "bits": [ 978 ],
- "attributes": {
- }
- },
- "$abc$13728$n1601": {
- "hide_name": 1,
- "bits": [ 979 ],
- "attributes": {
- }
- },
- "$abc$13728$n1602": {
- "hide_name": 1,
- "bits": [ 980 ],
- "attributes": {
- }
- },
- "$abc$13728$n1605": {
- "hide_name": 1,
- "bits": [ 79 ],
- "attributes": {
- }
- },
- "$abc$13728$n1605_1": {
- "hide_name": 1,
- "bits": [ 981 ],
- "attributes": {
- }
- },
- "$abc$13728$n1606": {
- "hide_name": 1,
- "bits": [ 982 ],
- "attributes": {
- }
- },
- "$abc$13728$n1607": {
- "hide_name": 1,
- "bits": [ 983 ],
- "attributes": {
- }
- },
- "$abc$13728$n1608": {
- "hide_name": 1,
- "bits": [ 984 ],
- "attributes": {
- }
- },
- "$abc$13728$n1609": {
- "hide_name": 1,
- "bits": [ 985 ],
- "attributes": {
- }
- },
- "$abc$13728$n1610": {
- "hide_name": 1,
- "bits": [ 986 ],
- "attributes": {
- }
- },
- "$abc$13728$n1611": {
- "hide_name": 1,
- "bits": [ 987 ],
- "attributes": {
- }
- },
- "$abc$13728$n1613": {
- "hide_name": 1,
- "bits": [ 80 ],
- "attributes": {
- }
- },
- "$abc$13728$n1613_1": {
- "hide_name": 1,
- "bits": [ 988 ],
- "attributes": {
- }
- },
- "$abc$13728$n1614": {
- "hide_name": 1,
- "bits": [ 70 ],
- "attributes": {
- }
- },
- "$abc$13728$n1614_1": {
- "hide_name": 1,
- "bits": [ 989 ],
- "attributes": {
- }
- },
- "$abc$13728$n1615_1": {
- "hide_name": 1,
- "bits": [ 990 ],
- "attributes": {
- }
- },
- "$abc$13728$n1616_1": {
- "hide_name": 1,
- "bits": [ 991 ],
- "attributes": {
- }
- },
- "$abc$13728$n1619_1": {
- "hide_name": 1,
- "bits": [ 993 ],
- "attributes": {
- }
- },
- "$abc$13728$n1622_1": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$13728$n1623_1": {
- "hide_name": 1,
- "bits": [ 999 ],
- "attributes": {
- }
- },
- "$abc$13728$n1624_1": {
- "hide_name": 1,
- "bits": [ 1002 ],
- "attributes": {
- }
- },
- "$abc$13728$n1625_1": {
- "hide_name": 1,
- "bits": [ 994 ],
- "attributes": {
- }
- },
- "$abc$13728$n1626_1": {
- "hide_name": 1,
- "bits": [ 1003 ],
- "attributes": {
- }
- },
- "$abc$13728$n1627_1": {
- "hide_name": 1,
- "bits": [ 1004 ],
- "attributes": {
- }
- },
- "$abc$13728$n1628_1": {
- "hide_name": 1,
- "bits": [ 1005 ],
- "attributes": {
- }
- },
- "$abc$13728$n1629_1": {
- "hide_name": 1,
- "bits": [ 1006 ],
- "attributes": {
- }
- },
- "$abc$13728$n1632_1": {
- "hide_name": 1,
- "bits": [ 1008 ],
- "attributes": {
- }
- },
- "$abc$13728$n1633_1": {
- "hide_name": 1,
- "bits": [ 1010 ],
- "attributes": {
- }
- },
- "$abc$13728$n1634_1": {
- "hide_name": 1,
- "bits": [ 1011 ],
- "attributes": {
- }
- },
- "$abc$13728$n1636_1": {
- "hide_name": 1,
- "bits": [ 1014 ],
- "attributes": {
- }
- },
- "$abc$13728$n1637": {
- "hide_name": 1,
- "bits": [ 1015 ],
- "attributes": {
- }
- },
- "$abc$13728$n1638": {
- "hide_name": 1,
- "bits": [ 1018 ],
- "attributes": {
- }
- },
- "$abc$13728$n1639": {
- "hide_name": 1,
- "bits": [ 1019 ],
- "attributes": {
- }
- },
- "$abc$13728$n1642": {
- "hide_name": 1,
- "bits": [ 1020 ],
- "attributes": {
- }
- },
- "$abc$13728$n1643": {
- "hide_name": 1,
- "bits": [ 1007 ],
- "attributes": {
- }
- },
- "$abc$13728$n1644": {
- "hide_name": 1,
- "bits": [ 1021 ],
- "attributes": {
- }
- },
- "$abc$13728$n1645": {
- "hide_name": 1,
- "bits": [ 1009 ],
- "attributes": {
- }
- },
- "$abc$13728$n1646_1": {
- "hide_name": 1,
- "bits": [ 1022 ],
- "attributes": {
- }
- },
- "$abc$13728$n1647": {
- "hide_name": 1,
- "bits": [ 1023 ],
- "attributes": {
- }
- },
- "$abc$13728$n1649": {
- "hide_name": 1,
- "bits": [ 1025 ],
- "attributes": {
- }
- },
- "$abc$13728$n1653": {
- "hide_name": 1,
- "bits": [ 1026 ],
- "attributes": {
- }
- },
- "$abc$13728$n1654": {
- "hide_name": 1,
- "bits": [ 1027 ],
- "attributes": {
- }
- },
- "$abc$13728$n1655_1": {
- "hide_name": 1,
- "bits": [ 1030 ],
- "attributes": {
- }
- },
- "$abc$13728$n1657": {
- "hide_name": 1,
- "bits": [ 1035 ],
- "attributes": {
- }
- },
- "$abc$13728$n1658": {
- "hide_name": 1,
- "bits": [ 1028 ],
- "attributes": {
- }
- },
- "$abc$13728$n1659": {
- "hide_name": 1,
- "bits": [ 1040 ],
- "attributes": {
- }
- },
- "$abc$13728$n1660": {
- "hide_name": 1,
- "bits": [ 1029 ],
- "attributes": {
- }
- },
- "$abc$13728$n1661": {
- "hide_name": 1,
- "bits": [ 1045 ],
- "attributes": {
- }
- },
- "$abc$13728$n1662": {
- "hide_name": 1,
- "bits": [ 1048 ],
- "attributes": {
- }
- },
- "$abc$13728$n1663": {
- "hide_name": 1,
- "bits": [ 1049 ],
- "attributes": {
- }
- },
- "$abc$13728$n1664": {
- "hide_name": 1,
- "bits": [ 1051 ],
- "attributes": {
- }
- },
- "$abc$13728$n1665": {
- "hide_name": 1,
- "bits": [ 1052 ],
- "attributes": {
- }
- },
- "$abc$13728$n1666": {
- "hide_name": 1,
- "bits": [ 1031 ],
- "attributes": {
- }
- },
- "$abc$13728$n1667": {
- "hide_name": 1,
- "bits": [ 1055 ],
- "attributes": {
- }
- },
- "$abc$13728$n1668": {
- "hide_name": 1,
- "bits": [ 1050 ],
- "attributes": {
- }
- },
- "$abc$13728$n1669": {
- "hide_name": 1,
- "bits": [ 1058 ],
- "attributes": {
- }
- },
- "$abc$13728$n1670_1": {
- "hide_name": 1,
- "bits": [ 1060 ],
- "attributes": {
- }
- },
- "$abc$13728$n1671_1": {
- "hide_name": 1,
- "bits": [ 525 ],
- "attributes": {
- }
- },
- "$abc$13728$n1672_1": {
- "hide_name": 1,
- "bits": [ 1063 ],
- "attributes": {
- }
- },
- "$abc$13728$n1673_1": {
- "hide_name": 1,
- "bits": [ 1066 ],
- "attributes": {
- }
- },
- "$abc$13728$n1674_1": {
- "hide_name": 1,
- "bits": [ 558 ],
- "attributes": {
- }
- },
- "$abc$13728$n1675_1": {
- "hide_name": 1,
- "bits": [ 1069 ],
- "attributes": {
- }
- },
- "$abc$13728$n1676_1": {
- "hide_name": 1,
- "bits": [ 1072 ],
- "attributes": {
- }
- },
- "$abc$13728$n1677_1": {
- "hide_name": 1,
- "bits": [ 557 ],
- "attributes": {
- }
- },
- "$abc$13728$n1678_1": {
- "hide_name": 1,
- "bits": [ 1075 ],
- "attributes": {
- }
- },
- "$abc$13728$n1679_1": {
- "hide_name": 1,
- "bits": [ 1078 ],
- "attributes": {
- }
- },
- "$abc$13728$n1680_1": {
- "hide_name": 1,
- "bits": [ 564 ],
- "attributes": {
- }
- },
- "$abc$13728$n1681_1": {
- "hide_name": 1,
- "bits": [ 1081 ],
- "attributes": {
- }
- },
- "$abc$13728$n1682_1": {
- "hide_name": 1,
- "bits": [ 1084 ],
- "attributes": {
- }
- },
- "$abc$13728$n1683_1": {
- "hide_name": 1,
- "bits": [ 563 ],
- "attributes": {
- }
- },
- "$abc$13728$n1684_1": {
- "hide_name": 1,
- "bits": [ 1087 ],
- "attributes": {
- }
- },
- "$abc$13728$n1685_1": {
- "hide_name": 1,
- "bits": [ 1090 ],
- "attributes": {
- }
- },
- "$abc$13728$n1686_1": {
- "hide_name": 1,
- "bits": [ 569 ],
- "attributes": {
- }
- },
- "$abc$13728$n1687_1": {
- "hide_name": 1,
- "bits": [ 1093 ],
- "attributes": {
- }
- },
- "$abc$13728$n1688_1": {
- "hide_name": 1,
- "bits": [ 1096 ],
- "attributes": {
- }
- },
- "$abc$13728$n1689_1": {
- "hide_name": 1,
- "bits": [ 568 ],
- "attributes": {
- }
- },
- "$abc$13728$n1690_1": {
- "hide_name": 1,
- "bits": [ 1099 ],
- "attributes": {
- }
- },
- "$abc$13728$n1691_1": {
- "hide_name": 1,
- "bits": [ 1102 ],
- "attributes": {
- }
- },
- "$abc$13728$n1692_1": {
- "hide_name": 1,
- "bits": [ 574 ],
- "attributes": {
- }
- },
- "$abc$13728$n1693_1": {
- "hide_name": 1,
- "bits": [ 1105 ],
- "attributes": {
- }
- },
- "$abc$13728$n1694_1": {
- "hide_name": 1,
- "bits": [ 1108 ],
- "attributes": {
- }
- },
- "$abc$13728$n1695_1": {
- "hide_name": 1,
- "bits": [ 573 ],
- "attributes": {
- }
- },
- "$abc$13728$n1696_1": {
- "hide_name": 1,
- "bits": [ 1111 ],
- "attributes": {
- }
- },
- "$abc$13728$n1697_1": {
- "hide_name": 1,
- "bits": [ 1114 ],
- "attributes": {
- }
- },
- "$abc$13728$n1698_1": {
- "hide_name": 1,
- "bits": [ 578 ],
- "attributes": {
- }
- },
- "$abc$13728$n1699_1": {
- "hide_name": 1,
- "bits": [ 1117 ],
- "attributes": {
- }
- },
- "$abc$13728$n17": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$13728$n1700_1": {
- "hide_name": 1,
- "bits": [ 1120 ],
- "attributes": {
- }
- },
- "$abc$13728$n1701_1": {
- "hide_name": 1,
- "bits": [ 591 ],
- "attributes": {
- }
- },
- "$abc$13728$n1702_1": {
- "hide_name": 1,
- "bits": [ 1123 ],
- "attributes": {
- }
- },
- "$abc$13728$n1703_1": {
- "hide_name": 1,
- "bits": [ 1126 ],
- "attributes": {
- }
- },
- "$abc$13728$n1704": {
- "hide_name": 1,
- "bits": [ 971 ],
- "attributes": {
- }
- },
- "$abc$13728$n1704_1": {
- "hide_name": 1,
- "bits": [ 590 ],
- "attributes": {
- }
- },
- "$abc$13728$n1705_1": {
- "hide_name": 1,
- "bits": [ 1129 ],
- "attributes": {
- }
- },
- "$abc$13728$n1706": {
- "hide_name": 1,
- "bits": [ 972 ],
- "attributes": {
- }
- },
- "$abc$13728$n1706_1": {
- "hide_name": 1,
- "bits": [ 1132 ],
- "attributes": {
- }
- },
- "$abc$13728$n1707": {
- "hide_name": 1,
- "bits": [ 974 ],
- "attributes": {
- }
- },
- "$abc$13728$n1707_1": {
- "hide_name": 1,
- "bits": [ 596 ],
- "attributes": {
- }
- },
- "$abc$13728$n1708_1": {
- "hide_name": 1,
- "bits": [ 1135 ],
- "attributes": {
- }
- },
- "$abc$13728$n1709_1": {
- "hide_name": 1,
- "bits": [ 1138 ],
- "attributes": {
- }
- },
- "$abc$13728$n1710": {
- "hide_name": 1,
- "bits": [ 595 ],
- "attributes": {
- }
- },
- "$abc$13728$n1711_1": {
- "hide_name": 1,
- "bits": [ 1141 ],
- "attributes": {
- }
- },
- "$abc$13728$n1712": {
- "hide_name": 1,
- "bits": [ 1144 ],
- "attributes": {
- }
- },
- "$abc$13728$n1713_1": {
- "hide_name": 1,
- "bits": [ 600 ],
- "attributes": {
- }
- },
- "$abc$13728$n1714": {
- "hide_name": 1,
- "bits": [ 1147 ],
- "attributes": {
- }
- },
- "$abc$13728$n1715_1": {
- "hide_name": 1,
- "bits": [ 1150 ],
- "attributes": {
- }
- },
- "$abc$13728$n1716": {
- "hide_name": 1,
- "bits": [ 1151 ],
- "attributes": {
- }
- },
- "$abc$13728$n1717_1": {
- "hide_name": 1,
- "bits": [ 1152 ],
- "attributes": {
- }
- },
- "$abc$13728$n1718": {
- "hide_name": 1,
- "bits": [ 610 ],
- "attributes": {
- }
- },
- "$abc$13728$n1719_1": {
- "hide_name": 1,
- "bits": [ 1155 ],
- "attributes": {
- }
- },
- "$abc$13728$n1720": {
- "hide_name": 1,
- "bits": [ 1158 ],
- "attributes": {
- }
- },
- "$abc$13728$n1721_1": {
- "hide_name": 1,
- "bits": [ 667 ],
- "attributes": {
- }
- },
- "$abc$13728$n1722": {
- "hide_name": 1,
- "bits": [ 1161 ],
- "attributes": {
- }
- },
- "$abc$13728$n1723_1": {
- "hide_name": 1,
- "bits": [ 1163 ],
- "attributes": {
- }
- },
- "$abc$13728$n1724": {
- "hide_name": 1,
- "bits": [ 1164 ],
- "attributes": {
- }
- },
- "$abc$13728$n1725_1": {
- "hide_name": 1,
- "bits": [ 1165 ],
- "attributes": {
- }
- },
- "$abc$13728$n1726": {
- "hide_name": 1,
- "bits": [ 619 ],
- "attributes": {
- }
- },
- "$abc$13728$n1727_1": {
- "hide_name": 1,
- "bits": [ 1168 ],
- "attributes": {
- }
- },
- "$abc$13728$n1728": {
- "hide_name": 1,
- "bits": [ 1171 ],
- "attributes": {
- }
- },
- "$abc$13728$n1729_1": {
- "hide_name": 1,
- "bits": [ 670 ],
- "attributes": {
- }
- },
- "$abc$13728$n1730": {
- "hide_name": 1,
- "bits": [ 1174 ],
- "attributes": {
- }
- },
- "$abc$13728$n1731_1": {
- "hide_name": 1,
- "bits": [ 1177 ],
- "attributes": {
- }
- },
- "$abc$13728$n1732": {
- "hide_name": 1,
- "bits": [ 1178 ],
- "attributes": {
- }
- },
- "$abc$13728$n1733_1": {
- "hide_name": 1,
- "bits": [ 1179 ],
- "attributes": {
- }
- },
- "$abc$13728$n1734": {
- "hide_name": 1,
- "bits": [ 623 ],
- "attributes": {
- }
- },
- "$abc$13728$n1735_1": {
- "hide_name": 1,
- "bits": [ 1182 ],
- "attributes": {
- }
- },
- "$abc$13728$n1736": {
- "hide_name": 1,
- "bits": [ 1185 ],
- "attributes": {
- }
- },
- "$abc$13728$n1737_1": {
- "hide_name": 1,
- "bits": [ 673 ],
- "attributes": {
- }
- },
- "$abc$13728$n1738": {
- "hide_name": 1,
- "bits": [ 1188 ],
- "attributes": {
- }
- },
- "$abc$13728$n1739_1": {
- "hide_name": 1,
- "bits": [ 1191 ],
- "attributes": {
- }
- },
- "$abc$13728$n1740": {
- "hide_name": 1,
- "bits": [ 1192 ],
- "attributes": {
- }
- },
- "$abc$13728$n1741_1": {
- "hide_name": 1,
- "bits": [ 1193 ],
- "attributes": {
- }
- },
- "$abc$13728$n1742": {
- "hide_name": 1,
- "bits": [ 627 ],
- "attributes": {
- }
- },
- "$abc$13728$n1743_1": {
- "hide_name": 1,
- "bits": [ 1196 ],
- "attributes": {
- }
- },
- "$abc$13728$n1744": {
- "hide_name": 1,
- "bits": [ 1199 ],
- "attributes": {
- }
- },
- "$abc$13728$n1745_1": {
- "hide_name": 1,
- "bits": [ 676 ],
- "attributes": {
- }
- },
- "$abc$13728$n1746": {
- "hide_name": 1,
- "bits": [ 1202 ],
- "attributes": {
- }
- },
- "$abc$13728$n1747_1": {
- "hide_name": 1,
- "bits": [ 1205 ],
- "attributes": {
- }
- },
- "$abc$13728$n1748": {
- "hide_name": 1,
- "bits": [ 634 ],
- "attributes": {
- }
- },
- "$abc$13728$n1749_1": {
- "hide_name": 1,
- "bits": [ 1208 ],
- "attributes": {
- }
- },
- "$abc$13728$n1750": {
- "hide_name": 1,
- "bits": [ 1211 ],
- "attributes": {
- }
- },
- "$abc$13728$n1751_1": {
- "hide_name": 1,
- "bits": [ 1212 ],
- "attributes": {
- }
- },
- "$abc$13728$n1752": {
- "hide_name": 1,
- "bits": [ 1213 ],
- "attributes": {
- }
- },
- "$abc$13728$n1753_1": {
- "hide_name": 1,
- "bits": [ 645 ],
- "attributes": {
- }
- },
- "$abc$13728$n1754": {
- "hide_name": 1,
- "bits": [ 1216 ],
- "attributes": {
- }
- },
- "$abc$13728$n1755_1": {
- "hide_name": 1,
- "bits": [ 1219 ],
- "attributes": {
- }
- },
- "$abc$13728$n1756": {
- "hide_name": 1,
- "bits": [ 681 ],
- "attributes": {
- }
- },
- "$abc$13728$n1757_1": {
- "hide_name": 1,
- "bits": [ 1222 ],
- "attributes": {
- }
- },
- "$abc$13728$n1758": {
- "hide_name": 1,
- "bits": [ 1225 ],
- "attributes": {
- }
- },
- "$abc$13728$n1759_1": {
- "hide_name": 1,
- "bits": [ 1226 ],
- "attributes": {
- }
- },
- "$abc$13728$n1760": {
- "hide_name": 1,
- "bits": [ 1227 ],
- "attributes": {
- }
- },
- "$abc$13728$n1761_1": {
- "hide_name": 1,
- "bits": [ 649 ],
- "attributes": {
- }
- },
- "$abc$13728$n1762": {
- "hide_name": 1,
- "bits": [ 1230 ],
- "attributes": {
- }
- },
- "$abc$13728$n1763_1": {
- "hide_name": 1,
- "bits": [ 1233 ],
- "attributes": {
- }
- },
- "$abc$13728$n1764": {
- "hide_name": 1,
- "bits": [ 684 ],
- "attributes": {
- }
- },
- "$abc$13728$n1765_1": {
- "hide_name": 1,
- "bits": [ 1236 ],
- "attributes": {
- }
- },
- "$abc$13728$n1766": {
- "hide_name": 1,
- "bits": [ 1239 ],
- "attributes": {
- }
- },
- "$abc$13728$n1767_1": {
- "hide_name": 1,
- "bits": [ 656 ],
- "attributes": {
- }
- },
- "$abc$13728$n1768": {
- "hide_name": 1,
- "bits": [ 1240 ],
- "attributes": {
- }
- },
- "$abc$13728$n1769_1": {
- "hide_name": 1,
- "bits": [ 1241 ],
- "attributes": {
- }
- },
- "$abc$13728$n1770": {
- "hide_name": 1,
- "bits": [ 1242 ],
- "attributes": {
- }
- },
- "$abc$13728$n1771_1": {
- "hide_name": 1,
- "bits": [ 748 ],
- "attributes": {
- }
- },
- "$abc$13728$n1772": {
- "hide_name": 1,
- "bits": [ 1243 ],
- "attributes": {
- }
- },
- "$abc$13728$n1773_1": {
- "hide_name": 1,
- "bits": [ 1244 ],
- "attributes": {
- }
- },
- "$abc$13728$n1774": {
- "hide_name": 1,
- "bits": [ 1245 ],
- "attributes": {
- }
- },
- "$abc$13728$n1775": {
- "hide_name": 1,
- "bits": [ 28 ],
- "attributes": {
- }
- },
- "$abc$13728$n1776": {
- "hide_name": 1,
- "bits": [ 1247 ],
- "attributes": {
- }
- },
- "$abc$13728$n1777": {
- "hide_name": 1,
- "bits": [ 1248 ],
- "attributes": {
- }
- },
- "$abc$13728$n1778": {
- "hide_name": 1,
- "bits": [ 551 ],
- "attributes": {
- }
- },
- "$abc$13728$n1778_1": {
- "hide_name": 1,
- "bits": [ 1249 ],
- "attributes": {
- }
- },
- "$abc$13728$n1779": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$13728$n1780": {
- "hide_name": 1,
- "bits": [ 1250 ],
- "attributes": {
- }
- },
- "$abc$13728$n1781": {
- "hide_name": 1,
- "bits": [ 992 ],
- "attributes": {
- }
- },
- "$abc$13728$n1782": {
- "hide_name": 1,
- "bits": [ 553 ],
- "attributes": {
- }
- },
- "$abc$13728$n1782_1": {
- "hide_name": 1,
- "bits": [ 1251 ],
- "attributes": {
- }
- },
- "$abc$13728$n1783": {
- "hide_name": 1,
- "bits": [ 1252 ],
- "attributes": {
- }
- },
- "$abc$13728$n1784": {
- "hide_name": 1,
- "bits": [ 1253 ],
- "attributes": {
- }
- },
- "$abc$13728$n1785": {
- "hide_name": 1,
- "bits": [ 752 ],
- "attributes": {
- }
- },
- "$abc$13728$n1785_1": {
- "hide_name": 1,
- "bits": [ 1254 ],
- "attributes": {
- }
- },
- "$abc$13728$n1786": {
- "hide_name": 1,
- "bits": [ 996 ],
- "attributes": {
- }
- },
- "$abc$13728$n1787": {
- "hide_name": 1,
- "bits": [ 1256 ],
- "attributes": {
- }
- },
- "$abc$13728$n1789_1": {
- "hide_name": 1,
- "bits": [ 1257 ],
- "attributes": {
- }
- },
- "$abc$13728$n1790_1": {
- "hide_name": 1,
- "bits": [ 1258 ],
- "attributes": {
- }
- },
- "$abc$13728$n1791_1": {
- "hide_name": 1,
- "bits": [ 1260 ],
- "attributes": {
- }
- },
- "$abc$13728$n1792_1": {
- "hide_name": 1,
- "bits": [ 1261 ],
- "attributes": {
- }
- },
- "$abc$13728$n1793": {
- "hide_name": 1,
- "bits": [ 1262 ],
- "attributes": {
- }
- },
- "$abc$13728$n1794": {
- "hide_name": 1,
- "bits": [ 470 ],
- "attributes": {
- }
- },
- "$abc$13728$n1796": {
- "hide_name": 1,
- "bits": [ 917 ],
- "attributes": {
- }
- },
- "$abc$13728$n1798": {
- "hide_name": 1,
- "bits": [ 918 ],
- "attributes": {
- }
- },
- "$abc$13728$n1801": {
- "hide_name": 1,
- "bits": [ 919 ],
- "attributes": {
- }
- },
- "$abc$13728$n1818": {
- "hide_name": 1,
- "bits": [ 930 ],
- "attributes": {
- }
- },
- "$abc$13728$n1819": {
- "hide_name": 1,
- "bits": [ 931 ],
- "attributes": {
- }
- },
- "$abc$13728$n1821": {
- "hide_name": 1,
- "bits": [ 934 ],
- "attributes": {
- }
- },
- "$abc$13728$n1822": {
- "hide_name": 1,
- "bits": [ 935 ],
- "attributes": {
- }
- },
- "$abc$13728$n1861": {
- "hide_name": 1,
- "bits": [ 944 ],
- "attributes": {
- }
- },
- "$abc$13728$n1868": {
- "hide_name": 1,
- "bits": [ 946 ],
- "attributes": {
- }
- },
- "$abc$13728$n1876": {
- "hide_name": 1,
- "bits": [ 949 ],
- "attributes": {
- }
- },
- "$abc$13728$n1881": {
- "hide_name": 1,
- "bits": [ 950 ],
- "attributes": {
- }
- },
- "$abc$13728$n1889": {
- "hide_name": 1,
- "bits": [ 951 ],
- "attributes": {
- }
- },
- "$abc$13728$n19": {
- "hide_name": 1,
- "bits": [ 962 ],
- "attributes": {
- }
- },
- "$abc$13728$n1900": {
- "hide_name": 1,
- "bits": [ 952 ],
- "attributes": {
- }
- },
- "$abc$13728$n1906": {
- "hide_name": 1,
- "bits": [ 953 ],
- "attributes": {
- }
- },
- "$abc$13728$n1914": {
- "hide_name": 1,
- "bits": [ 955 ],
- "attributes": {
- }
- },
- "$abc$13728$n225": {
- "hide_name": 1,
- "bits": [ 963 ],
- "attributes": {
- }
- },
- "$abc$13728$n226": {
- "hide_name": 1,
- "bits": [ 964 ],
- "attributes": {
- }
- },
- "$abc$13728$n229": {
- "hide_name": 1,
- "bits": [ 965 ],
- "attributes": {
- }
- },
- "$abc$13728$n238": {
- "hide_name": 1,
- "bits": [ 966 ],
- "attributes": {
- }
- },
- "$abc$13728$n242": {
- "hide_name": 1,
- "bits": [ 967 ],
- "attributes": {
- }
- },
- "$abc$13728$n25": {
- "hide_name": 1,
- "bits": [ 248 ],
- "attributes": {
- }
- },
- "$abc$13728$n3": {
- "hide_name": 1,
- "bits": [ 958 ],
- "attributes": {
- }
- },
- "$abc$13728$n30": {
- "hide_name": 1,
- "bits": [ 253 ],
- "attributes": {
- }
- },
- "$abc$13728$n37": {
- "hide_name": 1,
- "bits": [ 257 ],
- "attributes": {
- }
- },
- "$abc$13728$n39": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$13728$n395": {
- "hide_name": 1,
- "bits": [ 317 ],
- "attributes": {
- }
- },
- "$abc$13728$n396": {
- "hide_name": 1,
- "bits": [ 318 ],
- "attributes": {
- }
- },
- "$abc$13728$n41": {
- "hide_name": 1,
- "bits": [ 261 ],
- "attributes": {
- }
- },
- "$abc$13728$n426": {
- "hide_name": 1,
- "bits": [ 1024 ],
- "attributes": {
- }
- },
- "$abc$13728$n431": {
- "hide_name": 1,
- "bits": [ 369 ],
- "attributes": {
- }
- },
- "$abc$13728$n44": {
- "hide_name": 1,
- "bits": [ 287 ],
- "attributes": {
- }
- },
- "$abc$13728$n47": {
- "hide_name": 1,
- "bits": [ 302 ],
- "attributes": {
- }
- },
- "$abc$13728$n49": {
- "hide_name": 1,
- "bits": [ 306 ],
- "attributes": {
- }
- },
- "$abc$13728$n5": {
- "hide_name": 1,
- "bits": [ 9 ],
- "attributes": {
- }
- },
- "$abc$13728$n50": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$13728$n53": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$13728$n54": {
- "hide_name": 1,
- "bits": [ 312 ],
- "attributes": {
- }
- },
- "$abc$13728$n55": {
- "hide_name": 1,
- "bits": [ 316 ],
- "attributes": {
- }
- },
- "$abc$13728$n56": {
- "hide_name": 1,
- "bits": [ 319 ],
- "attributes": {
- }
- },
- "$abc$13728$n6": {
- "hide_name": 1,
- "bits": [ 254 ],
- "attributes": {
- }
- },
- "$abc$13728$n606": {
- "hide_name": 1,
- "bits": [ 370 ],
- "attributes": {
- }
- },
- "$abc$13728$n62": {
- "hide_name": 1,
- "bits": [ 347 ],
- "attributes": {
- }
- },
- "$abc$13728$n671": {
- "hide_name": 1,
- "bits": [ 969 ],
- "attributes": {
- }
- },
- "$abc$13728$n691": {
- "hide_name": 1,
- "bits": [ 11 ],
- "attributes": {
- }
- },
- "$abc$13728$n8": {
- "hide_name": 1,
- "bits": [ 346 ],
- "attributes": {
- }
- },
- "$abc$13728$n807_1": {
- "hide_name": 1,
- "bits": [ 12 ],
- "attributes": {
- }
- },
- "$abc$13728$n808_1": {
- "hide_name": 1,
- "bits": [ 14 ],
- "attributes": {
- }
- },
- "$abc$13728$n809_1": {
- "hide_name": 1,
- "bits": [ 22 ],
- "attributes": {
- }
- },
- "$abc$13728$n810_1": {
- "hide_name": 1,
- "bits": [ 18 ],
- "attributes": {
- }
- },
- "$abc$13728$n811_1": {
- "hide_name": 1,
- "bits": [ 24 ],
- "attributes": {
- }
- },
- "$abc$13728$n815": {
- "hide_name": 1,
- "bits": [ 35 ],
- "attributes": {
- }
- },
- "$abc$13728$n816_1": {
- "hide_name": 1,
- "bits": [ 38 ],
- "attributes": {
- }
- },
- "$abc$13728$n820": {
- "hide_name": 1,
- "bits": [ 41 ],
- "attributes": {
- }
- },
- "$abc$13728$n829": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$13728$n830": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$13728$n831": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$13728$n833": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$13728$n835": {
- "hide_name": 1,
- "bits": [ 52 ],
- "attributes": {
- }
- },
- "$abc$13728$n836": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$13728$n837": {
- "hide_name": 1,
- "bits": [ 54 ],
- "attributes": {
- }
- },
- "$abc$13728$n838": {
- "hide_name": 1,
- "bits": [ 53 ],
- "attributes": {
- }
- },
- "$abc$13728$n839": {
- "hide_name": 1,
- "bits": [ 63 ],
- "attributes": {
- }
- },
- "$abc$13728$n840": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$13728$n841": {
- "hide_name": 1,
- "bits": [ 260 ],
- "attributes": {
- }
- },
- "$abc$13728$n841_1": {
- "hide_name": 1,
- "bits": [ 61 ],
- "attributes": {
- }
- },
- "$abc$13728$n842": {
- "hide_name": 1,
- "bits": [ 29 ],
- "attributes": {
- }
- },
- "$abc$13728$n843_1": {
- "hide_name": 1,
- "bits": [ 69 ],
- "attributes": {
- }
- },
- "$abc$13728$n844": {
- "hide_name": 1,
- "bits": [ 556 ],
- "attributes": {
- }
- },
- "$abc$13728$n844_1": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$13728$n845": {
- "hide_name": 1,
- "bits": [ 76 ],
- "attributes": {
- }
- },
- "$abc$13728$n846_1": {
- "hide_name": 1,
- "bits": [ 75 ],
- "attributes": {
- }
- },
- "$abc$13728$n847": {
- "hide_name": 1,
- "bits": [ 562 ],
- "attributes": {
- }
- },
- "$abc$13728$n847_1": {
- "hide_name": 1,
- "bits": [ 74 ],
- "attributes": {
- }
- },
- "$abc$13728$n848": {
- "hide_name": 1,
- "bits": [ 83 ],
- "attributes": {
- }
- },
- "$abc$13728$n849_1": {
- "hide_name": 1,
- "bits": [ 81 ],
- "attributes": {
- }
- },
- "$abc$13728$n850": {
- "hide_name": 1,
- "bits": [ 567 ],
- "attributes": {
- }
- },
- "$abc$13728$n850_1": {
- "hide_name": 1,
- "bits": [ 82 ],
- "attributes": {
- }
- },
- "$abc$13728$n851": {
- "hide_name": 1,
- "bits": [ 71 ],
- "attributes": {
- }
- },
- "$abc$13728$n852_1": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$13728$n853": {
- "hide_name": 1,
- "bits": [ 572 ],
- "attributes": {
- }
- },
- "$abc$13728$n853_1": {
- "hide_name": 1,
- "bits": [ 86 ],
- "attributes": {
- }
- },
- "$abc$13728$n854": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$13728$n855_1": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$13728$n856": {
- "hide_name": 1,
- "bits": [ 577 ],
- "attributes": {
- }
- },
- "$abc$13728$n856_1": {
- "hide_name": 1,
- "bits": [ 93 ],
- "attributes": {
- }
- },
- "$abc$13728$n857": {
- "hide_name": 1,
- "bits": [ 68 ],
- "attributes": {
- }
- },
- "$abc$13728$n858_1": {
- "hide_name": 1,
- "bits": [ 100 ],
- "attributes": {
- }
- },
- "$abc$13728$n859": {
- "hide_name": 1,
- "bits": [ 589 ],
- "attributes": {
- }
- },
- "$abc$13728$n859_1": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$13728$n860": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$13728$n861_1": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$13728$n862": {
- "hide_name": 1,
- "bits": [ 594 ],
- "attributes": {
- }
- },
- "$abc$13728$n862_1": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$13728$n863": {
- "hide_name": 1,
- "bits": [ 107 ],
- "attributes": {
- }
- },
- "$abc$13728$n864_1": {
- "hide_name": 1,
- "bits": [ 98 ],
- "attributes": {
- }
- },
- "$abc$13728$n865": {
- "hide_name": 1,
- "bits": [ 599 ],
- "attributes": {
- }
- },
- "$abc$13728$n865_1": {
- "hide_name": 1,
- "bits": [ 109 ],
- "attributes": {
- }
- },
- "$abc$13728$n866": {
- "hide_name": 1,
- "bits": [ 108 ],
- "attributes": {
- }
- },
- "$abc$13728$n867_1": {
- "hide_name": 1,
- "bits": [ 99 ],
- "attributes": {
- }
- },
- "$abc$13728$n868": {
- "hide_name": 1,
- "bits": [ 612 ],
- "attributes": {
- }
- },
- "$abc$13728$n868_1": {
- "hide_name": 1,
- "bits": [ 110 ],
- "attributes": {
- }
- },
- "$abc$13728$n869": {
- "hide_name": 1,
- "bits": [ 111 ],
- "attributes": {
- }
- },
- "$abc$13728$n870_1": {
- "hide_name": 1,
- "bits": [ 23 ],
- "attributes": {
- }
- },
- "$abc$13728$n871": {
- "hide_name": 1,
- "bits": [ 620 ],
- "attributes": {
- }
- },
- "$abc$13728$n871_1": {
- "hide_name": 1,
- "bits": [ 26 ],
- "attributes": {
- }
- },
- "$abc$13728$n872": {
- "hide_name": 1,
- "bits": [ 114 ],
- "attributes": {
- }
- },
- "$abc$13728$n873_1": {
- "hide_name": 1,
- "bits": [ 118 ],
- "attributes": {
- }
- },
- "$abc$13728$n874": {
- "hide_name": 1,
- "bits": [ 624 ],
- "attributes": {
- }
- },
- "$abc$13728$n874_1": {
- "hide_name": 1,
- "bits": [ 25 ],
- "attributes": {
- }
- },
- "$abc$13728$n875": {
- "hide_name": 1,
- "bits": [ 19 ],
- "attributes": {
- }
- },
- "$abc$13728$n876_1": {
- "hide_name": 1,
- "bits": [ 122 ],
- "attributes": {
- }
- },
- "$abc$13728$n877": {
- "hide_name": 1,
- "bits": [ 628 ],
- "attributes": {
- }
- },
- "$abc$13728$n877_1": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$13728$n878": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$13728$n879_1": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$13728$n880": {
- "hide_name": 1,
- "bits": [ 633 ],
- "attributes": {
- }
- },
- "$abc$13728$n880_1": {
- "hide_name": 1,
- "bits": [ 21 ],
- "attributes": {
- }
- },
- "$abc$13728$n883": {
- "hide_name": 1,
- "bits": [ 646 ],
- "attributes": {
- }
- },
- "$abc$13728$n885_1": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$13728$n886": {
- "hide_name": 1,
- "bits": [ 650 ],
- "attributes": {
- }
- },
- "$abc$13728$n886_1": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$13728$n887": {
- "hide_name": 1,
- "bits": [ 130 ],
- "attributes": {
- }
- },
- "$abc$13728$n888_1": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$13728$n889": {
- "hide_name": 1,
- "bits": [ 655 ],
- "attributes": {
- }
- },
- "$abc$13728$n890": {
- "hide_name": 1,
- "bits": [ 132 ],
- "attributes": {
- }
- },
- "$abc$13728$n891_1": {
- "hide_name": 1,
- "bits": [ 133 ],
- "attributes": {
- }
- },
- "$abc$13728$n892": {
- "hide_name": 1,
- "bits": [ 668 ],
- "attributes": {
- }
- },
- "$abc$13728$n893": {
- "hide_name": 1,
- "bits": [ 134 ],
- "attributes": {
- }
- },
- "$abc$13728$n894_1": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$13728$n895": {
- "hide_name": 1,
- "bits": [ 671 ],
- "attributes": {
- }
- },
- "$abc$13728$n895_1": {
- "hide_name": 1,
- "bits": [ 136 ],
- "attributes": {
- }
- },
- "$abc$13728$n898": {
- "hide_name": 1,
- "bits": [ 674 ],
- "attributes": {
- }
- },
- "$abc$13728$n899": {
- "hide_name": 1,
- "bits": [ 137 ],
- "attributes": {
- }
- },
- "$abc$13728$n900_1": {
- "hide_name": 1,
- "bits": [ 138 ],
- "attributes": {
- }
- },
- "$abc$13728$n901": {
- "hide_name": 1,
- "bits": [ 677 ],
- "attributes": {
- }
- },
- "$abc$13728$n902": {
- "hide_name": 1,
- "bits": [ 139 ],
- "attributes": {
- }
- },
- "$abc$13728$n903_1": {
- "hide_name": 1,
- "bits": [ 140 ],
- "attributes": {
- }
- },
- "$abc$13728$n904": {
- "hide_name": 1,
- "bits": [ 679 ],
- "attributes": {
- }
- },
- "$abc$13728$n906_1": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$13728$n907": {
- "hide_name": 1,
- "bits": [ 682 ],
- "attributes": {
- }
- },
- "$abc$13728$n907_1": {
- "hide_name": 1,
- "bits": [ 142 ],
- "attributes": {
- }
- },
- "$abc$13728$n910": {
- "hide_name": 1,
- "bits": [ 685 ],
- "attributes": {
- }
- },
- "$abc$13728$n911": {
- "hide_name": 1,
- "bits": [ 17 ],
- "attributes": {
- }
- },
- "$abc$13728$n912_1": {
- "hide_name": 1,
- "bits": [ 143 ],
- "attributes": {
- }
- },
- "$abc$13728$n913": {
- "hide_name": 1,
- "bits": [ 687 ],
- "attributes": {
- }
- },
- "$abc$13728$n913_1": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$13728$n914": {
- "hide_name": 1,
- "bits": [ 144 ],
- "attributes": {
- }
- },
- "$abc$13728$n915_1": {
- "hide_name": 1,
- "bits": [ 20 ],
- "attributes": {
- }
- },
- "$abc$13728$n916": {
- "hide_name": 1,
- "bits": [ 689 ],
- "attributes": {
- }
- },
- "$abc$13728$n916_1": {
- "hide_name": 1,
- "bits": [ 16 ],
- "attributes": {
- }
- },
- "$abc$13728$n917": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$13728$n918_1": {
- "hide_name": 1,
- "bits": [ 146 ],
- "attributes": {
- }
- },
- "$abc$13728$n919": {
- "hide_name": 1,
- "bits": [ 691 ],
- "attributes": {
- }
- },
- "$abc$13728$n921_1": {
- "hide_name": 1,
- "bits": [ 147 ],
- "attributes": {
- }
- },
- "$abc$13728$n922": {
- "hide_name": 1,
- "bits": [ 693 ],
- "attributes": {
- }
- },
- "$abc$13728$n923": {
- "hide_name": 1,
- "bits": [ 15 ],
- "attributes": {
- }
- },
- "$abc$13728$n925": {
- "hide_name": 1,
- "bits": [ 695 ],
- "attributes": {
- }
- },
- "$abc$13728$n928": {
- "hide_name": 1,
- "bits": [ 697 ],
- "attributes": {
- }
- },
- "$abc$13728$n931": {
- "hide_name": 1,
- "bits": [ 699 ],
- "attributes": {
- }
- },
- "$abc$13728$n931_1": {
- "hide_name": 1,
- "bits": [ 153 ],
- "attributes": {
- }
- },
- "$abc$13728$n932": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$13728$n933_1": {
- "hide_name": 1,
- "bits": [ 159 ],
- "attributes": {
- }
- },
- "$abc$13728$n934": {
- "hide_name": 1,
- "bits": [ 701 ],
- "attributes": {
- }
- },
- "$abc$13728$n934_1": {
- "hide_name": 1,
- "bits": [ 158 ],
- "attributes": {
- }
- },
- "$abc$13728$n936": {
- "hide_name": 1,
- "bits": [ 702 ],
- "attributes": {
- }
- },
- "$abc$13728$n939": {
- "hide_name": 1,
- "bits": [ 162 ],
- "attributes": {
- }
- },
- "$abc$13728$n940": {
- "hide_name": 1,
- "bits": [ 165 ],
- "attributes": {
- }
- },
- "$abc$13728$n945": {
- "hide_name": 1,
- "bits": [ 168 ],
- "attributes": {
- }
- },
- "$abc$13728$n946": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$13728$n954": {
- "hide_name": 1,
- "bits": [ 13 ],
- "attributes": {
- }
- },
- "$abc$13728$n957": {
- "hide_name": 1,
- "bits": [ 178 ],
- "attributes": {
- }
- },
- "$abc$13728$n958": {
- "hide_name": 1,
- "bits": [ 177 ],
- "attributes": {
- }
- },
- "$abc$13728$n959": {
- "hide_name": 1,
- "bits": [ 179 ],
- "attributes": {
- }
- },
- "$abc$13728$n960": {
- "hide_name": 1,
- "bits": [ 180 ],
- "attributes": {
- }
- },
- "$abc$13728$n961": {
- "hide_name": 1,
- "bits": [ 174 ],
- "attributes": {
- }
- },
- "$abc$13728$n962": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$13728$n964": {
- "hide_name": 1,
- "bits": [ 193 ],
- "attributes": {
- }
- },
- "$abc$13728$n965": {
- "hide_name": 1,
- "bits": [ 195 ],
- "attributes": {
- }
- },
- "$abc$13728$n967": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$13728$n968": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$13728$n982": {
- "hide_name": 1,
- "bits": [ 704 ],
- "attributes": {
- }
- },
- "$abc$13728$n984": {
- "hide_name": 1,
- "bits": [ 706 ],
- "attributes": {
- }
- },
- "$abc$13728$n984_1": {
- "hide_name": 1,
- "bits": [ 200 ],
- "attributes": {
- }
- },
- "$abc$13728$n986": {
- "hide_name": 1,
- "bits": [ 708 ],
- "attributes": {
- }
- },
- "$abc$13728$n987": {
- "hide_name": 1,
- "bits": [ 203 ],
- "attributes": {
- }
- },
- "$abc$13728$n988": {
- "hide_name": 1,
- "bits": [ 710 ],
- "attributes": {
- }
- },
- "$abc$13728$n988_1": {
- "hide_name": 1,
- "bits": [ 210 ],
- "attributes": {
- }
- },
- "$abc$13728$n989": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$13728$n990": {
- "hide_name": 1,
- "bits": [ 712 ],
- "attributes": {
- }
- },
- "$abc$13728$n990_1": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$13728$n991": {
- "hide_name": 1,
- "bits": [ 218 ],
- "attributes": {
- }
- },
- "$abc$13728$n992": {
- "hide_name": 1,
- "bits": [ 714 ],
- "attributes": {
- }
- },
- "$abc$13728$n992_1": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$13728$n993": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$13728$n994": {
- "hide_name": 1,
- "bits": [ 716 ],
- "attributes": {
- }
- },
- "$abc$13728$n994_1": {
- "hide_name": 1,
- "bits": [ 220 ],
- "attributes": {
- }
- },
- "$abc$13728$n995": {
- "hide_name": 1,
- "bits": [ 205 ],
- "attributes": {
- }
- },
- "$abc$13728$n996": {
- "hide_name": 1,
- "bits": [ 718 ],
- "attributes": {
- }
- },
- "$abc$13728$n996_1": {
- "hide_name": 1,
- "bits": [ 206 ],
- "attributes": {
- }
- },
- "$abc$13728$n998": {
- "hide_name": 1,
- "bits": [ 719 ],
- "attributes": {
- }
- },
- "$abc$13728$n999": {
- "hide_name": 1,
- "bits": [ 720 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1350.C": {
- "hide_name": 1,
- "bits": [ 1823, 1824, 1263, 1264, 1265 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1361.C": {
- "hide_name": 1,
- "bits": [ 1825, 1826, 1266, 1267, 1268 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:240|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1372.C": {
- "hide_name": 1,
- "bits": [ 1827, 1828, 1829, 1269, 1270 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:195|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1383.C": {
- "hide_name": 1,
- "bits": [ 1830, 1831, 1271, 1272, 1273 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:278|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1388.C": {
- "hide_name": 1,
- "bits": [ 1832, 1833, 1274, 1275, 1276 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1393.C": {
- "hide_name": 1,
- "bits": [ 1834, 1835, 1277, 1278, 1279 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:263|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1398.C": {
- "hide_name": 1,
- "bits": [ 1836, 1837, 1838, 1839, 1280 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:262|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1403.C": {
- "hide_name": 1,
- "bits": [ 1840, 1841, 1842, 1843, 1281 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:261|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1408.C": {
- "hide_name": 1,
- "bits": [ 1844, 1845, 1282, 1283, 1284 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:26|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1411.C": {
- "hide_name": 1,
- "bits": [ 1846, 1847, 1848, 1849, 1285, 1850 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:264|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1414.C": {
- "hide_name": 1,
- "bits": [ 1851, 1852, 1286, 1287, 1288, 1853 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:279|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1417.C": {
- "hide_name": 1,
- "bits": [ 1854, 1290, 1292, 1294, 1296 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:343|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1420.C": {
- "hide_name": 1,
- "bits": [ 1855, 1856, 1299, 1302, 1305 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1423.C": {
- "hide_name": 1,
- "bits": [ 1857, 1858, 1308, 1310, 1312 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1426.C": {
- "hide_name": 1,
- "bits": [ 1859, 1860, 1336, 1358, 1380, 1402, 1424, 1433, 1435, 1437, 1315, 1317, 1319, 1321, 1323, 1325, 1327, 1329, 1331, 1333, 1335, 1338, 1340, 1342, 1344, 1346, 1348, 1350, 1352, 1354, 1356, 1360, 1362, 1364, 1366, 1368, 1370, 1372, 1374, 1376, 1378, 1382, 1384, 1386, 1388, 1390, 1392, 1394, 1396, 1398, 1400, 1404, 1406, 1408, 1410, 1412, 1414, 1416, 1418, 1420, 1422, 1426, 1428, 1430 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$13683": {
- "hide_name": 1,
- "bits": [ 1440 ],
- "attributes": {
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$13687": {
- "hide_name": 1,
- "bits": [ 1441 ],
- "attributes": {
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$13691": {
- "hide_name": 1,
- "bits": [ 1442 ],
- "attributes": {
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$13703": {
- "hide_name": 1,
- "bits": [ 1439 ],
- "attributes": {
- }
- },
- "$auto$wreduce.cc:347:run$1333": {
- "hide_name": 1,
- "bits": [ 1298, 1861, 1301, 1304, 1307, 1862, 1863, 1864, 1865, 1866, 1867, 1868, 1869, 1870, 1871, 1872, 1873, 1874, 1875, 1876, 1877, 1878, 1879, 1880, 1881, 1882, 1883, 1884, 1885, 1886, 1887, 1888 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:130"
- }
- },
- "$auto$wreduce.cc:347:run$1334": {
- "hide_name": 1,
- "bits": [ 1889, 1890, 1309, 1311, 1313, 1891, 1892, 1893, 1894, 1895, 1896, 1897, 1898, 1899, 1900, 1901, 1902, 1903, 1904, 1905, 1906, 1907, 1908, 1909, 1910, 1911, 1912, 1913, 1914, 1915, 1916, 1917 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:135"
- }
- },
- "$techmap1451\\ram.ram0.mem.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1599, 1600, 1601, 1918, 1602, 1603, 1604, 1605, 1606, 1607, 1608, 1919, 1609, 1610, 1611, 1612 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1453\\ram.ram0.mem.10.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1627, 1628, 1629, 1920, 1630, 1631, 1632, 1633, 1634, 1635, 1636, 1921, 1637, 1638, 1639, 1640 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1455\\ram.ram0.mem.11.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1641, 1642, 1643, 1922, 1644, 1645, 1646, 1647, 1648, 1649, 1650, 1923, 1651, 1652, 1653, 1654 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1457\\ram.ram0.mem.5.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1753, 1754, 1755, 1924, 1756, 1757, 1758, 1759, 1760, 1761, 1762, 1925, 1763, 1764, 1765, 1766 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1459\\ram.ram0.mem.6.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1767, 1768, 1769, 1926, 1770, 1771, 1772, 1773, 1774, 1775, 1776, 1927, 1777, 1778, 1779, 1780 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1461\\ram.ram0.mem.13.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1669, 1670, 1671, 1928, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1929, 1679, 1680, 1681, 1682 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1463\\ram.ram0.mem.15.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1697, 1698, 1699, 1930, 1700, 1701, 1702, 1703, 1704, 1705, 1706, 1931, 1707, 1708, 1709, 1710 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1465\\ram.ram0.mem.8.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1795, 1796, 1797, 1932, 1798, 1799, 1800, 1801, 1802, 1803, 1804, 1933, 1805, 1806, 1807, 1808 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1467\\ram.ram0.mem.12.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1655, 1656, 1657, 1934, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1935, 1665, 1666, 1667, 1668 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1469\\ram.ram0.mem.9.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1809, 1810, 1811, 1936, 1812, 1813, 1814, 1815, 1816, 1817, 1818, 1937, 1819, 1820, 1821, 1822 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1471\\ram.ram0.mem.4.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1739, 1740, 1741, 1938, 1742, 1743, 1744, 1745, 1746, 1747, 1748, 1939, 1749, 1750, 1751, 1752 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1473\\ram.ram0.mem.7.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1781, 1782, 1783, 1940, 1784, 1785, 1786, 1787, 1788, 1789, 1790, 1941, 1791, 1792, 1793, 1794 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1475\\ram.ram0.mem.3.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1725, 1726, 1727, 1942, 1728, 1729, 1730, 1731, 1732, 1733, 1734, 1943, 1735, 1736, 1737, 1738 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1477\\ram.ram0.mem.2.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1711, 1712, 1713, 1944, 1714, 1715, 1716, 1717, 1718, 1719, 1720, 1945, 1721, 1722, 1723, 1724 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1479\\ram.ram0.mem.1.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1613, 1614, 1615, 1946, 1616, 1617, 1618, 1619, 1620, 1621, 1622, 1947, 1623, 1624, 1625, 1626 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1481\\ram.ram0.mem.14.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 1683, 1684, 1685, 1948, 1686, 1687, 1688, 1689, 1690, 1691, 1692, 1949, 1693, 1694, 1695, 1696 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap\\cpu.decode.$0\\cnt[4:0]": {
- "hide_name": 1,
- "bits": [ 1289, 1291, 1293, 1295, 1297 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:311"
- }
- },
- "$techmap\\riscv_timer.$0\\mtime[63:0]": {
- "hide_name": 1,
- "bits": [ 1314, 1950, 1357, 1379, 1401, 1423, 1432, 1434, 1436, 1438, 1316, 1318, 1320, 1322, 1324, 1326, 1328, 1330, 1332, 1334, 1337, 1339, 1341, 1343, 1345, 1347, 1349, 1351, 1353, 1355, 1359, 1361, 1363, 1365, 1367, 1369, 1371, 1373, 1375, 1377, 1381, 1383, 1385, 1387, 1389, 1391, 1393, 1395, 1397, 1399, 1403, 1405, 1407, 1409, 1411, 1413, 1415, 1417, 1419, 1421, 1425, 1427, 1429, 1431 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:31"
- }
- },
- "canary": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:9"
- }
- },
- "cpu.alu.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:4"
- }
- },
- "cpu.alu.en_r": {
- "hide_name": 0,
- "bits": [ 482 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:29"
- }
- },
- "cpu.alu.i_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:5"
- }
- },
- "cpu.alu.i_init": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:8"
- }
- },
- "cpu.alu.i_sh_right": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:15"
- }
- },
- "cpu.alu.i_sh_signed": {
- "hide_name": 0,
- "bits": [ 481 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:16"
- }
- },
- "cpu.alu.i_shamt_en": {
- "hide_name": 0,
- "bits": [ 936 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:14"
- }
- },
- "cpu.alu.init_r": {
- "hide_name": 0,
- "bits": [ 483 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:32"
- }
- },
- "cpu.alu.last_eq": {
- "hide_name": 0,
- "bits": [ 363 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:103"
- }
- },
- "cpu.alu.msb_lt": {
- "hide_name": 0,
- "bits": [ 362 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:31"
- }
- },
- "cpu.alu.result_eq": {
- "hide_name": 0,
- "bits": [ 359 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:23"
- }
- },
- "cpu.alu.result_lt": {
- "hide_name": 0,
- "bits": [ 361 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:24"
- }
- },
- "cpu.alu.ser_add.c_r": {
- "hide_name": 0,
- "bits": [ 475 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:78|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.alu.ser_add.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:78|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.alu.ser_add_inv_plus_1.c_r": {
- "hide_name": 0,
- "bits": [ 478 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:67|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.alu.ser_add_inv_plus_1.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:67|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.alu.ser_add_inv_shamt_plus1.c_r": {
- "hide_name": 0,
- "bits": [ 737 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:36|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.alu.ser_add_inv_shamt_plus1.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:36|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.alu.ser_eq.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:4"
- }
- },
- "cpu.alu.ser_eq.clr": {
- "hide_name": 0,
- "bits": [ 968 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:7"
- }
- },
- "cpu.alu.ser_eq.o_q": {
- "hide_name": 0,
- "bits": [ 359 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:8"
- }
- },
- "cpu.alu.ser_eq.q": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:87|../src/serv_0/rtl/ser_eq.v:12"
- }
- },
- "cpu.alu.ser_lt.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:4"
- }
- },
- "cpu.alu.ser_lt.lt": {
- "hide_name": 0,
- "bits": [ 948 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:12"
- }
- },
- "cpu.alu.ser_lt.lt_r": {
- "hide_name": 0,
- "bits": [ 947 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:10"
- }
- },
- "cpu.alu.ser_lt.o_q": {
- "hide_name": 0,
- "bits": [ 361 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:95|../src/serv_0/rtl/ser_lt.v:8"
- }
- },
- "cpu.alu.shamt": {
- "hide_name": 0,
- "bits": [ 513, 514, 515, 509, 516 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:27"
- }
- },
- "cpu.alu.shamt_reg.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:3"
- }
- },
- "cpu.alu.shamt_reg.data": {
- "hide_name": 0,
- "bits": [ 513, 514, 515, 509, 516 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:12"
- }
- },
- "cpu.alu.shamt_reg.i_d": {
- "hide_name": 0,
- "bits": [ 738 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:5"
- }
- },
- "cpu.alu.shamt_reg.i_en": {
- "hide_name": 0,
- "bits": [ 936 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:4"
- }
- },
- "cpu.alu.shamt_reg.o_par": {
- "hide_name": 0,
- "bits": [ 514, 515, 509, 516 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:7"
- }
- },
- "cpu.alu.shamt_reg.o_q": {
- "hide_name": 0,
- "bits": [ 513 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:47|../src/serv_0/rtl/shift_reg.v:6"
- }
- },
- "cpu.alu.shamt_ser": {
- "hide_name": 0,
- "bits": [ 738 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:34"
- }
- },
- "cpu.alu.shift.cnt": {
- "hide_name": 0,
- "bits": [ 308, 313, 314, 311, 315 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:16"
- }
- },
- "cpu.alu.shift.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:4"
- }
- },
- "cpu.alu.shift.i_load": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:5"
- }
- },
- "cpu.alu.shift.i_right": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:8"
- }
- },
- "cpu.alu.shift.i_shamt": {
- "hide_name": 0,
- "bits": [ 513, 514, 515, 509, 516 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:6"
- }
- },
- "cpu.alu.shift.i_signed": {
- "hide_name": 0,
- "bits": [ 481 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:7"
- }
- },
- "cpu.alu.shift.sh_reg.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:3"
- }
- },
- "cpu.alu.shift.sh_reg.data": {
- "hide_name": 0,
- "bits": [ 500, 506, 1041, 494, 501, 507, 1038, 495, 1056, 503, 1036, 488, 1053, 504, 1033, 489, 497, 1046, 1042, 491, 498, 1047, 1039, 492, 1057, 1043, 1037, 485, 1054, 1044, 1034, 486 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:12"
- }
- },
- "cpu.alu.shift.sh_reg.i_en": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:4"
- }
- },
- "cpu.alu.shift.sh_reg.o_par": {
- "hide_name": 0,
- "bits": [ 506, 1041, 494, 501, 507, 1038, 495, 1056, 503, 1036, 488, 1053, 504, 1033, 489, 497, 1046, 1042, 491, 498, 1047, 1039, 492, 1057, 1043, 1037, 485, 1054, 1044, 1034, 486 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:7"
- }
- },
- "cpu.alu.shift.sh_reg.o_q": {
- "hide_name": 0,
- "bits": [ 500 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:18|../src/serv_0/rtl/shift_reg.v:6"
- }
- },
- "cpu.alu.shift.shiftreg": {
- "hide_name": 0,
- "bits": [ 500, 506, 1041, 494, 501, 507, 1038, 495, 1056, 503, 1036, 488, 1053, 504, 1033, 489, 497, 1046, 1042, 491, 498, 1047, 1039, 492, 1057, 1043, 1037, 485, 1054, 1044, 1034, 486 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:12"
- }
- },
- "cpu.alu.shift.signbit": {
- "hide_name": 0,
- "bits": [ 1259 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:14"
- }
- },
- "cpu.alu.shift.wrapped": {
- "hide_name": 0,
- "bits": [ 511 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:203|../src/serv_0/rtl/serv_alu.v:54|../src/serv_0/rtl/ser_shift.v:15"
- }
- },
- "cpu.alu_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:78"
- }
- },
- "cpu.alu_init": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:79"
- }
- },
- "cpu.alu_sh_right": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:87"
- }
- },
- "cpu.alu_sh_signed": {
- "hide_name": 0,
- "bits": [ 481 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:86"
- }
- },
- "cpu.alu_shamt_en": {
- "hide_name": 0,
- "bits": [ 936 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:85"
- }
- },
- "cpu.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:11"
- }
- },
- "cpu.csr.csr_in": {
- "hide_name": 0,
- "bits": [ 750 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:37"
- }
- },
- "cpu.csr.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:4"
- }
- },
- "cpu.csr.i_pc": {
- "hide_name": 0,
- "bits": [ 524 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:9"
- }
- },
- "cpu.csr.mcause": {
- "hide_name": 0,
- "bits": [ 543, 739, 741, 744, 746, 1567, 1568, 1569, 1570, 1571, 1572, 1573, 1574, 1575, 1576, 1577, 1578, 1579, 1580, 1581, 1582, 1583, 1584, 1585, 1586, 1587, 1588, 1589, 1590, 1591, 1592, 1593 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:28"
- }
- },
- "cpu.csr.mcause_en": {
- "hide_name": 0,
- "bits": [ 290 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:34"
- }
- },
- "cpu.csr.mepc": {
- "hide_name": 0,
- "bits": [ 538, 1443, 1444, 1445, 1446, 1447, 1448, 1449, 1450, 1451, 1452, 1453, 1454, 1455, 1456, 1457, 1458, 1459, 1460, 1461, 1462, 1463, 1464, 1465, 1466, 1467, 1468, 1469, 1470, 1471, 1472, 1473 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:27"
- }
- },
- "cpu.csr.mepc_en": {
- "hide_name": 0,
- "bits": [ 939 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:33"
- }
- },
- "cpu.csr.mscratch": {
- "hide_name": 0,
- "bits": [ 544, 1505, 1506, 1507, 1508, 1509, 1510, 1511, 1512, 1513, 1514, 1515, 1516, 1517, 1518, 1519, 1520, 1521, 1522, 1523, 1524, 1525, 1526, 1527, 1528, 1529, 1530, 1531, 1532, 1533, 1534, 1535 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:26"
- }
- },
- "cpu.csr.mscratch_en": {
- "hide_name": 0,
- "bits": [ 940 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:32"
- }
- },
- "cpu.csr.mtval": {
- "hide_name": 0,
- "bits": [ 542, 1474, 1475, 1476, 1477, 1478, 1479, 1480, 1481, 1482, 1483, 1484, 1485, 1486, 1487, 1488, 1489, 1490, 1491, 1492, 1493, 1494, 1495, 1496, 1497, 1498, 1499, 1500, 1501, 1502, 1503, 1504 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:29"
- }
- },
- "cpu.csr.mtval_en": {
- "hide_name": 0,
- "bits": [ 938 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:35"
- }
- },
- "cpu.csr.mtvec": {
- "hide_name": 0,
- "bits": [ 536, 1536, 1537, 1538, 1539, 1540, 1541, 1542, 1543, 1544, 1545, 1546, 1547, 1548, 1549, 1550, 1551, 1552, 1553, 1554, 1555, 1556, 1557, 1558, 1559, 1560, 1561, 1562, 1563, 1564, 1565, 1566 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:25"
- }
- },
- "cpu.csr.mtvec_en": {
- "hide_name": 0,
- "bits": [ 941 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:257|../src/serv_0/rtl/serv_csr.v:31"
- }
- },
- "cpu.csr_d_sel": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:112"
- }
- },
- "cpu.ctrl.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:4"
- }
- },
- "cpu.ctrl.en_2r": {
- "hide_name": 0,
- "bits": [ 942 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:80"
- }
- },
- "cpu.ctrl.en_pc_2r": {
- "hide_name": 0,
- "bits": [ 528 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:83"
- }
- },
- "cpu.ctrl.en_pc_3r": {
- "hide_name": 0,
- "bits": [ 527 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:84"
- }
- },
- "cpu.ctrl.en_pc_r": {
- "hide_name": 0,
- "bits": [ 961 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:82"
- }
- },
- "cpu.ctrl.en_r": {
- "hide_name": 0,
- "bits": [ 943 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:79"
- }
- },
- "cpu.ctrl.i_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:5"
- }
- },
- "cpu.ctrl.i_pc_en": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:6"
- }
- },
- "cpu.ctrl.new_pc": {
- "hide_name": 0,
- "bits": [ 759 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:31"
- }
- },
- "cpu.ctrl.o_ibus_adr": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:18"
- }
- },
- "cpu.ctrl.o_ibus_cyc": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:19"
- }
- },
- "cpu.ctrl.o_misalign": {
- "hide_name": 0,
- "bits": [ 355 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:17"
- }
- },
- "cpu.ctrl.pc": {
- "hide_name": 0,
- "bits": [ 524 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:29"
- }
- },
- "cpu.ctrl.pc_plus_offset": {
- "hide_name": 0,
- "bits": [ 945 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:25"
- }
- },
- "cpu.ctrl.pc_reg.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:3"
- }
- },
- "cpu.ctrl.pc_reg.data": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:12"
- }
- },
- "cpu.ctrl.pc_reg.i_d": {
- "hide_name": 0,
- "bits": [ 759 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:5"
- }
- },
- "cpu.ctrl.pc_reg.i_en": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:4"
- }
- },
- "cpu.ctrl.pc_reg.o_par": {
- "hide_name": 0,
- "bits": [ 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:7"
- }
- },
- "cpu.ctrl.pc_reg.o_q": {
- "hide_name": 0,
- "bits": [ 524 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:49|../src/serv_0/rtl/shift_reg.v:6"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_4.a": {
- "hide_name": 0,
- "bits": [ 524 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:40|../src/serv_0/rtl/ser_add.v:4"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_4.c_r": {
- "hide_name": 0,
- "bits": [ 529 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:40|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_4.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:40|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_offset.c_r": {
- "hide_name": 0,
- "bits": [ 523 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:67|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_offset.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:67|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.ctrl.ser_add_pc_plus_offset.q": {
- "hide_name": 0,
- "bits": [ 945 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:167|../src/serv_0/rtl/serv_ctrl.v:67|../src/serv_0/rtl/ser_add.v:7"
- }
- },
- "cpu.ctrl_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:63"
- }
- },
- "cpu.ctrl_misalign": {
- "hide_name": 0,
- "bits": [ 355 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:65"
- }
- },
- "cpu.ctrl_pc_en": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:64"
- }
- },
- "cpu.decode.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:4"
- }
- },
- "cpu.decode.cnt": {
- "hide_name": 0,
- "bits": [ 84, 55, 33, 34, 127 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:73"
- }
- },
- "cpu.decode.cnt_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:291"
- }
- },
- "cpu.decode.go": {
- "hide_name": 0,
- "bits": [ 351 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:287"
- }
- },
- "cpu.decode.i_ctrl_misalign": {
- "hide_name": 0,
- "bits": [ 355 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:16"
- }
- },
- "cpu.decode.i_wb_en": {
- "hide_name": 0,
- "bits": [ 304 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:6"
- }
- },
- "cpu.decode.i_wb_rdt": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:5"
- }
- },
- "cpu.decode.imm": {
- "hide_name": 0,
- "bits": [ 105, 40, 47, 90, 104, 78, 48, 91, 51, 39, 49, 97, 50, 85, 46, 96, 57, 36, 64, 88, 58, 32, 67, 89, 60, 37, 66, 95, 59, 31, 65, 94 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:210"
- }
- },
- "cpu.decode.o_alu_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:22"
- }
- },
- "cpu.decode.o_alu_init": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:23"
- }
- },
- "cpu.decode.o_alu_sh_right": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:31"
- }
- },
- "cpu.decode.o_alu_sh_signed": {
- "hide_name": 0,
- "bits": [ 481 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:30"
- }
- },
- "cpu.decode.o_alu_shamt_en": {
- "hide_name": 0,
- "bits": [ 936 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:29"
- }
- },
- "cpu.decode.o_csr_d_sel": {
- "hide_name": 0,
- "bits": [ 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:44"
- }
- },
- "cpu.decode.o_ctrl_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:9"
- }
- },
- "cpu.decode.o_ctrl_pc_en": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:10"
- }
- },
- "cpu.decode.o_funct3": {
- "hide_name": 0,
- "bits": [ 296, 297, 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:45"
- }
- },
- "cpu.decode.o_mem_dat_valid": {
- "hide_name": 0,
- "bits": [ 547 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:36"
- }
- },
- "cpu.decode.o_mem_en": {
- "hide_name": 0,
- "bits": [ 244 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:33"
- }
- },
- "cpu.decode.o_mem_init": {
- "hide_name": 0,
- "bits": [ 371 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:35"
- }
- },
- "cpu.decode.o_rf_rd_addr": {
- "hide_name": 0,
- "bits": [ 858, 859, 860, 861, 855 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:18"
- }
- },
- "cpu.decode.o_rf_rd_en": {
- "hide_name": 0,
- "bits": [ 251 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:17"
- }
- },
- "cpu.decode.o_rf_rs1_addr": {
- "hide_name": 0,
- "bits": [ 181, 201, 184, 176, 185 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:20"
- }
- },
- "cpu.decode.o_rf_rs2_addr": {
- "hide_name": 0,
- "bits": [ 995, 1255, 151, 154, 155 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:21"
- }
- },
- "cpu.decode.o_rf_rs_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:19"
- }
- },
- "cpu.decode.opcode": {
- "hide_name": 0,
- "bits": [ 119, 120, 115, 117, 116 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:209"
- }
- },
- "cpu.decode.signbit": {
- "hide_name": 0,
- "bits": [ 481 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:84"
- }
- },
- "cpu.decode.state": {
- "hide_name": 0,
- "bits": [ 202, 5, 4 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:118|../src/serv_0/rtl/serv_decode.v:71"
- }
- },
- "cpu.funct3": {
- "hide_name": 0,
- "bits": [ 296, 297, 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:76"
- }
- },
- "cpu.i_ibus_rdt": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:37"
- }
- },
- "cpu.mem_dat_valid": {
- "hide_name": 0,
- "bits": [ 547 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:101"
- }
- },
- "cpu.mem_en": {
- "hide_name": 0,
- "bits": [ 244 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:98"
- }
- },
- "cpu.mem_if.adr": {
- "hide_name": 0,
- "bits": [ 933 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:31"
- }
- },
- "cpu.mem_if.bytepos": {
- "hide_name": 0,
- "bits": [ 615, 559 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:69"
- }
- },
- "cpu.mem_if.dat": {
- "hide_name": 0,
- "bits": [ 546, 617, 621, 625, 629, 643, 647, 651, 665, 554, 560, 565, 570, 575, 587, 592, 597, 666, 669, 672, 675, 678, 680, 683, 686, 688, 690, 692, 694, 696, 698, 700 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:32"
- }
- },
- "cpu.mem_if.en_2r": {
- "hide_name": 0,
- "bits": [ 928 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:30"
- }
- },
- "cpu.mem_if.en_r": {
- "hide_name": 0,
- "bits": [ 929 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:29"
- }
- },
- "cpu.mem_if.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:4"
- }
- },
- "cpu.mem_if.i_dat_valid": {
- "hide_name": 0,
- "bits": [ 547 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:7"
- }
- },
- "cpu.mem_if.i_en": {
- "hide_name": 0,
- "bits": [ 244 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:5"
- }
- },
- "cpu.mem_if.i_funct3": {
- "hide_name": 0,
- "bits": [ 296, 297, 295 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:9"
- }
- },
- "cpu.mem_if.i_init": {
- "hide_name": 0,
- "bits": [ 371 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:6"
- }
- },
- "cpu.mem_if.init_2r": {
- "hide_name": 0,
- "bits": [ 258 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:82"
- }
- },
- "cpu.mem_if.init_r": {
- "hide_name": 0,
- "bits": [ 256 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:28"
- }
- },
- "cpu.mem_if.is_half": {
- "hide_name": 0,
- "bits": [ 296 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:57"
- }
- },
- "cpu.mem_if.is_word": {
- "hide_name": 0,
- "bits": [ 297 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:56"
- }
- },
- "cpu.mem_if.misalign": {
- "hide_name": 0,
- "bits": [ 365, 364 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:83"
- }
- },
- "cpu.mem_if.o_wb_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:17"
- }
- },
- "cpu.mem_if.o_wb_cyc": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:21"
- }
- },
- "cpu.mem_if.o_wb_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:18"
- }
- },
- "cpu.mem_if.o_wb_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:22"
- }
- },
- "cpu.mem_if.ser_add_rs1_plus_imm.c_r": {
- "hide_name": 0,
- "bits": [ 932 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:35|../src/serv_0/rtl/ser_add.v:12"
- }
- },
- "cpu.mem_if.ser_add_rs1_plus_imm.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:35|../src/serv_0/rtl/ser_add.v:3"
- }
- },
- "cpu.mem_if.ser_add_rs1_plus_imm.q": {
- "hide_name": 0,
- "bits": [ 933 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:35|../src/serv_0/rtl/ser_add.v:7"
- }
- },
- "cpu.mem_if.shift_reg_adr.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:3"
- }
- },
- "cpu.mem_if.shift_reg_adr.data": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:12"
- }
- },
- "cpu.mem_if.shift_reg_adr.i_d": {
- "hide_name": 0,
- "bits": [ 933 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:5"
- }
- },
- "cpu.mem_if.shift_reg_adr.i_en": {
- "hide_name": 0,
- "bits": [ 285 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:4"
- }
- },
- "cpu.mem_if.shift_reg_adr.o_par": {
- "hide_name": 0,
- "bits": [ 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:7"
- }
- },
- "cpu.mem_if.shift_reg_adr.o_q": {
- "hide_name": 0,
- "bits": [ 756 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:44|../src/serv_0/rtl/shift_reg.v:6"
- }
- },
- "cpu.mem_if.signbit": {
- "hide_name": 0,
- "bits": [ 545 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:33"
- }
- },
- "cpu.mem_if.upper_half": {
- "hide_name": 0,
- "bits": [ 559 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:233|../src/serv_0/rtl/serv_mem_if.v:63"
- }
- },
- "cpu.mem_init": {
- "hide_name": 0,
- "bits": [ 371 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:103"
- }
- },
- "cpu.o_dbus_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:39"
- }
- },
- "cpu.o_dbus_cyc": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:43"
- }
- },
- "cpu.o_dbus_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:40"
- }
- },
- "cpu.o_dbus_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:44"
- }
- },
- "cpu.o_ibus_adr": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:34"
- }
- },
- "cpu.o_ibus_cyc": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:35"
- }
- },
- "cpu.o_ibus_stb": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:36"
- }
- },
- "cpu.rd": {
- "hide_name": 0,
- "bits": [ 473 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:61"
- }
- },
- "cpu.rd_addr": {
- "hide_name": 0,
- "bits": [ 858, 859, 860, 861, 855 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:52"
- }
- },
- "cpu.rd_en": {
- "hide_name": 0,
- "bits": [ 251 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:93"
- }
- },
- "cpu.regfile.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:4"
- }
- },
- "cpu.regfile.i_rd": {
- "hide_name": 0,
- "bits": [ 473 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:7"
- }
- },
- "cpu.regfile.i_rd_addr": {
- "hide_name": 0,
- "bits": [ 858, 859, 860, 861, 855 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:6"
- }
- },
- "cpu.regfile.i_rd_en": {
- "hide_name": 0,
- "bits": [ 251 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:5"
- }
- },
- "cpu.regfile.i_rs1_addr": {
- "hide_name": 0,
- "bits": [ 181, 201, 184, 176, 185 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:8"
- }
- },
- "cpu.regfile.i_rs2_addr": {
- "hide_name": 0,
- "bits": [ 995, 1255, 151, 154, 155 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:9"
- }
- },
- "cpu.regfile.i_rs_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:10"
- }
- },
- "cpu.regfile.mask": {
- "hide_name": 0,
- "bits": [ 857, 863, 865, 867, 869, 871, 873, 875, 877, 879, 881, 883, 885, 887, 889, 891, 892, 893, 894, 895, 896, 897, 898, 899, 900, 901, 902, 903, 904, 905, 906, 907 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:94"
- }
- },
- "cpu.regfile.raddr": {
- "hide_name": 0,
- "bits": [ 959, 920, 922, 924, 926 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:89"
- }
- },
- "cpu.regfile.raddr2": {
- "hide_name": 0,
- "bits": [ 255, 921, 923, 925, 927 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:138"
- }
- },
- "cpu.regfile.rs": {
- "hide_name": 0,
- "bits": [ 198, 186, 156, 169, 163, 187, 157, 170, 194, 190, 1000, 1016, 160, 191, 1001, 1017, 196, 182, 149, 166, 164, 183, 150, 167, 192, 188, 997, 1012, 161, 189, 998, 1013 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:92"
- }
- },
- "cpu.regfile.waddr": {
- "hide_name": 0,
- "bits": [ 252, 970, 1300, 1303, 1306 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:221|../src/serv_0/rtl/serv_regfile.v:90"
- }
- },
- "cpu.rs1_addr": {
- "hide_name": 0,
- "bits": [ 181, 201, 184, 176, 185 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:53"
- }
- },
- "cpu.rs2_addr": {
- "hide_name": 0,
- "bits": [ 995, 1255, 151, 154, 155 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:54"
- }
- },
- "cpu.rs_en": {
- "hide_name": 0,
- "bits": [ 243 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:96|../src/serv_0/rtl/serv_top.v:92"
- }
- },
- "q": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:5"
- }
- },
- "ram.ram0.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:29"
- }
- },
- "ram.ram0.din": {
- "hide_name": 0,
- "bits": [ 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:31"
- }
- },
- "ram.ram0.dout": {
- "hide_name": 0,
- "bits": [ 373, 377, 380, 383, 386, 389, 392, 395, 398, 401, 404, 407, 410, 413, 416, 419, 422, 425, 428, 431, 434, 437, 440, 443, 446, 449, 452, 455, 458, 461, 464, 467 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:34"
- }
- },
- "ram.ram0.raddr": {
- "hide_name": 0,
- "bits": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:33"
- }
- },
- "ram.ram0.waddr": {
- "hide_name": 0,
- "bits": [ 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:32"
- }
- },
- "ram.ram0.we": {
- "hide_name": 0,
- "bits": [ 910, 913, 914, 916 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:73|../src/wb_ram_1.1/rtl/verilog/wb_ram_generic.v:30"
- }
- },
- "ram.wb_ack_o": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:45"
- }
- },
- "ram.wb_adr_i": {
- "hide_name": 0,
- "bits": [ "x", "x", 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:36"
- }
- },
- "ram.wb_clk_i": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:33"
- }
- },
- "ram.wb_dat_i": {
- "hide_name": 0,
- "bits": [ 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:37"
- }
- },
- "ram.wb_dat_o": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:47"
- }
- },
- "ram.wb_rdt": {
- "hide_name": 0,
- "bits": [ 373, 377, 380, 383, 386, 389, 392, 395, 398, 401, 404, 407, 410, 413, 416, 419, 422, 425, 428, 431, 434, 437, 440, 443, 446, 449, 452, 455, 458, 461, 464, 467 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:51"
- }
- },
- "ram.wb_rdt_r": {
- "hide_name": 0,
- "bits": [ 372, 376, 379, 382, 385, 388, 391, 394, 397, 400, 403, 406, 409, 412, 415, 418, 421, 424, 427, 430, 433, 436, 439, 442, 445, 448, 451, 454, 457, 460, 463, 466 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:52"
- }
- },
- "ram.wb_rst_i": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:40|../src/wb_ram_1.1/rtl/verilog/wb_ram.v:34"
- }
- },
- "riscv_timer.i_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:3"
- }
- },
- "riscv_timer.i_wb_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:5"
- }
- },
- "riscv_timer.i_wb_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:6"
- }
- },
- "riscv_timer.i_wb_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:10"
- }
- },
- "riscv_timer.mtime": {
- "hide_name": 0,
- "bits": [ 1148, 973, 1175, 1189, 639, 1209, 1223, 661, 1064, 1076, 1088, 1100, 583, 1118, 1130, 605, 1156, 1169, 1183, 1197, 1203, 1217, 1231, 1237, 1070, 1082, 1094, 1106, 1112, 1124, 1136, 1142, 1149, 1162, 1176, 1190, 641, 1210, 1224, 663, 1065, 1077, 1089, 1101, 585, 1119, 1131, 607, 1157, 1170, 1184, 1198, 1204, 1218, 1232, 1238, 1071, 1083, 1095, 1107, 1113, 1125, 1137, 1143 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:14"
- }
- },
- "riscv_timer.mtimecmp": {
- "hide_name": 0,
- "bits": [ 1145, 1159, 1172, 1186, 640, 1206, 1220, 662, 1061, 1073, 1085, 1097, 584, 1115, 1127, 606, 1153, 1166, 1180, 1194, 1200, 1214, 1228, 1234, 1067, 1079, 1091, 1103, 1109, 1121, 1133, 1139, 1146, 1160, 1173, 1187, 642, 1207, 1221, 664, 1062, 1074, 1086, 1098, 586, 1116, 1128, 608, 1154, 1167, 1181, 1195, 1201, 1215, 1229, 1235, 1068, 1080, 1092, 1104, 1110, 1122, 1134, 1140 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:15"
- }
- },
- "riscv_timer.o_wb_ack": {
- "hide_name": 0,
- "bits": [ 263 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:12"
- }
- },
- "riscv_timer.o_wb_dat": {
- "hide_name": 0,
- "bits": [ 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:84|../src/serv_0/rtl/riscv_timer.v:11",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31"
- }
- },
- "rst_reg": {
- "hide_name": 0,
- "bits": [ 960, "x", "x", "x", "x" ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:13"
- }
- },
- "testhalt.i_wb_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:73|../src/serv_0/testhalt.v:4"
- }
- },
- "testhalt.i_wb_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:73|../src/serv_0/testhalt.v:6"
- }
- },
- "testhalt.i_wb_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:73|../src/serv_0/testhalt.v:9"
- }
- },
- "testhalt.o_wb_ack": {
- "hide_name": 0,
- "bits": [ 266 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:73|../src/serv_0/testhalt.v:10"
- }
- },
- "testprint.ch": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:14"
- }
- },
- "testprint.i_wb_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:4"
- }
- },
- "testprint.i_wb_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:6"
- }
- },
- "testprint.i_wb_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:9"
- }
- },
- "testprint.o_wb_ack": {
- "hide_name": 0,
- "bits": [ 270 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:62|../src/serv_0/testprint.v:10"
- }
- },
- "wb_clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:4"
- }
- },
- "wb_intercon0.wb_arbiter_mem.active": {
- "hide_name": 0,
- "bits": [ 280 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:96"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.active": {
- "hide_name": 0,
- "bits": [ 280 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:38"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.clk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:33"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.rst": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:34"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.select": {
- "hide_name": 0,
- "bits": [ 282 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:37"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.token": {
- "hide_name": 0,
- "bits": [ 323, 321 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:77"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.token_lookahead[0]": {
- "hide_name": 0,
- "bits": [ 323, 321 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:30"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.token_lookahead[1]": {
- "hide_name": 0,
- "bits": [ 321, 323 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:30"
- }
- },
- "wb_intercon0.wb_arbiter_mem.arbiter0.token_wrap": {
- "hide_name": 0,
- "bits": [ 323, 321, 323, 321 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:98|../src/verilog-arbiter_0-r2/src/arbiter.v:79"
- }
- },
- "wb_intercon0.wb_arbiter_mem.master_sel": {
- "hide_name": 0,
- "bits": [ 282 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:95"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wb_clk_i": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:41"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wb_rst_i": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:42"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbm_ack_o": {
- "hide_name": 0,
- "bits": [ 1983, 1984 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:54",
- "unused_bits": "0 1"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbm_adr_i": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332, 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:45"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbm_dat_i": {
- "hide_name": 0,
- "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:46"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbm_dat_o": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468, 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:53"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbm_stb_i": {
- "hide_name": 0,
- "bits": [ 303, 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:50"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbs_ack_i": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:68"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbs_adr_o": {
- "hide_name": 0,
- "bits": [ "x", "x", 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:59"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbs_dat_i": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:67"
- }
- },
- "wb_intercon0.wb_arbiter_mem.wbs_dat_o": {
- "hide_name": 0,
- "bits": [ 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:168|../src/wb_intercon_1.2.2/rtl/verilog/wb_arbiter.v:60"
- }
- },
- "wb_intercon0.wb_clk_i": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:4"
- }
- },
- "wb_intercon0.wb_cpu_dbus_adr_i": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:6"
- }
- },
- "wb_intercon0.wb_cpu_dbus_cyc_i": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:10"
- }
- },
- "wb_intercon0.wb_cpu_dbus_dat_i": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:7"
- }
- },
- "wb_intercon0.wb_cpu_dbus_stb_i": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:11"
- }
- },
- "wb_intercon0.wb_cpu_ibus_adr_i": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:18"
- }
- },
- "wb_intercon0.wb_cpu_ibus_cyc_i": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:22"
- }
- },
- "wb_intercon0.wb_cpu_ibus_dat_o": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:26"
- }
- },
- "wb_intercon0.wb_cpu_ibus_stb_i": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:23"
- }
- },
- "wb_intercon0.wb_m2s_cpu_dbus_mem_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:79"
- }
- },
- "wb_intercon0.wb_m2s_cpu_dbus_mem_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:80"
- }
- },
- "wb_intercon0.wb_m2s_cpu_dbus_mem_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:84"
- }
- },
- "wb_intercon0.wb_m2s_cpu_ibus_mem_adr": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:91"
- }
- },
- "wb_intercon0.wb_m2s_cpu_ibus_mem_stb": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:96"
- }
- },
- "wb_intercon0.wb_mem_ack_i": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:39"
- }
- },
- "wb_intercon0.wb_mem_adr_o": {
- "hide_name": 0,
- "bits": [ "x", "x", 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:30"
- }
- },
- "wb_intercon0.wb_mem_dat_i": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:38"
- }
- },
- "wb_intercon0.wb_mem_dat_o": {
- "hide_name": 0,
- "bits": [ 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:31"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wb_clk_i": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:59"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wb_rst_i": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:60"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbm_adr_i": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:63"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbm_cyc_i": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:67"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbm_dat_i": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:64"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbm_stb_i": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:68"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbs_ack_i": {
- "hide_name": 0,
- "bits": [ 263, 266, 270, 1984 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:85"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbs_adr_o": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223, 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223, 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223, 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:76"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbs_dat_i": {
- "hide_name": 0,
- "bits": [ 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:84"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbs_dat_o": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831, 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831, 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831, 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:77"
- }
- },
- "wb_intercon0.wb_mux_cpu_dbus.wbs_stb_o": {
- "hide_name": 0,
- "bits": [ 224, 224, 224, 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:104|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:81"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wb_clk_i": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:59"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wb_rst_i": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:60"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbm_adr_i": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:63"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbm_cyc_i": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:67"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbm_dat_o": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:71"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbm_stb_i": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:68"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbs_adr_o": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:76"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbs_dat_i": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:84"
- }
- },
- "wb_intercon0.wb_mux_cpu_ibus.wbs_stb_o": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:136|../src/wb_intercon_1.2.2/rtl/verilog/wb_mux.v:81"
- }
- },
- "wb_intercon0.wb_rst_i": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:5"
- }
- },
- "wb_intercon0.wb_s2m_cpu_dbus_mem_ack": {
- "hide_name": 0,
- "bits": [ 1984 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:88",
- "unused_bits": "0"
- }
- },
- "wb_intercon0.wb_s2m_cpu_dbus_mem_dat": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:87"
- }
- },
- "wb_intercon0.wb_s2m_cpu_ibus_mem_dat": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:99"
- }
- },
- "wb_intercon0.wb_testhalt_ack_i": {
- "hide_name": 0,
- "bits": [ 266 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:51"
- }
- },
- "wb_intercon0.wb_testhalt_adr_o": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:42"
- }
- },
- "wb_intercon0.wb_testhalt_dat_o": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:43"
- }
- },
- "wb_intercon0.wb_testhalt_stb_o": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:47"
- }
- },
- "wb_intercon0.wb_testprint_ack_i": {
- "hide_name": 0,
- "bits": [ 270 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:63"
- }
- },
- "wb_intercon0.wb_testprint_adr_o": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:54"
- }
- },
- "wb_intercon0.wb_testprint_dat_o": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:55"
- }
- },
- "wb_intercon0.wb_testprint_stb_o": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:59"
- }
- },
- "wb_intercon0.wb_timer_ack_i": {
- "hide_name": 0,
- "bits": [ 263 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:75"
- }
- },
- "wb_intercon0.wb_timer_adr_o": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:66"
- }
- },
- "wb_intercon0.wb_timer_dat_i": {
- "hide_name": 0,
- "bits": [ 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:74",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31"
- }
- },
- "wb_intercon0.wb_timer_dat_o": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:67"
- }
- },
- "wb_intercon0.wb_timer_stb_o": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:76|../src/serv-wb_intercon_0/wb_intercon.v:71"
- }
- },
- "wb_m2s_cpu_dbus_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:3"
- }
- },
- "wb_m2s_cpu_dbus_cyc": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:7"
- }
- },
- "wb_m2s_cpu_dbus_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:4"
- }
- },
- "wb_m2s_cpu_dbus_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:8"
- }
- },
- "wb_m2s_cpu_ibus_adr": {
- "hide_name": 0,
- "bits": [ 524, 1595, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 1596, 1597, 1598, 324, 325, 341, 342, 343, 344, 337, 338, 339, 340, 333, 334, 335, 336, 331, 332 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:15"
- }
- },
- "wb_m2s_cpu_ibus_cyc": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:19"
- }
- },
- "wb_m2s_cpu_ibus_stb": {
- "hide_name": 0,
- "bits": [ 303 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:20"
- }
- },
- "wb_m2s_mem_adr": {
- "hide_name": 0,
- "bits": [ "x", "x", 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:27"
- }
- },
- "wb_m2s_mem_dat": {
- "hide_name": 0,
- "bits": [ 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:28"
- }
- },
- "wb_m2s_testhalt_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:39"
- }
- },
- "wb_m2s_testhalt_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:40"
- }
- },
- "wb_m2s_testhalt_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:44"
- }
- },
- "wb_m2s_testprint_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:51"
- }
- },
- "wb_m2s_testprint_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:52"
- }
- },
- "wb_m2s_testprint_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:56"
- }
- },
- "wb_m2s_timer_adr": {
- "hide_name": 0,
- "bits": [ 756, 1594, 247, 246, 237, 238, 240, 239, 242, 233, 234, 235, 236, 229, 230, 231, 232, 225, 226, 227, 211, 212, 214, 215, 216, 217, 208, 209, 228, 241, 222, 223 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:63"
- }
- },
- "wb_m2s_timer_dat": {
- "hide_name": 0,
- "bits": [ 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:64"
- }
- },
- "wb_m2s_timer_stb": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:68"
- }
- },
- "wb_rst": {
- "hide_name": 0,
- "bits": [ 960 ],
- "attributes": {
- "src": "../src/serv_0/bench/serv_wrapper.v:18"
- }
- },
- "wb_s2m_cpu_ibus_dat": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:23"
- }
- },
- "wb_s2m_mem_ack": {
- "hide_name": 0,
- "bits": [ 281 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:36"
- }
- },
- "wb_s2m_mem_dat": {
- "hide_name": 0,
- "bits": [ 375, 378, 381, 384, 387, 390, 393, 396, 399, 402, 405, 408, 411, 414, 417, 420, 423, 426, 429, 432, 435, 438, 441, 444, 447, 450, 453, 456, 459, 462, 465, 468 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:35"
- }
- },
- "wb_s2m_testhalt_ack": {
- "hide_name": 0,
- "bits": [ 266 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:48"
- }
- },
- "wb_s2m_testprint_ack": {
- "hide_name": 0,
- "bits": [ 270 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:60"
- }
- },
- "wb_s2m_timer_ack": {
- "hide_name": 0,
- "bits": [ 263 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:72"
- }
- },
- "wb_s2m_timer_dat": {
- "hide_name": 0,
- "bits": [ 1951, 1952, 1953, 1954, 1955, 1956, 1957, 1958, 1959, 1960, 1961, 1962, 1963, 1964, 1965, 1966, 1967, 1968, 1969, 1970, 1971, 1972, 1973, 1974, 1975, 1976, 1977, 1978, 1979, 1980, 1981, 1982 ],
- "attributes": {
- "src": "../src/serv-wb_intercon_0/wb_intercon.vh:71",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31"
- }
- }
- }
- }
- }
-}