aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0098/j4a.json
diff options
context:
space:
mode:
Diffstat (limited to 'ice40/regressions/issue0098/j4a.json')
-rw-r--r--ice40/regressions/issue0098/j4a.json126303
1 files changed, 0 insertions, 126303 deletions
diff --git a/ice40/regressions/issue0098/j4a.json b/ice40/regressions/issue0098/j4a.json
deleted file mode 100644
index 7eb1da4..0000000
--- a/ice40/regressions/issue0098/j4a.json
+++ /dev/null
@@ -1,126303 +0,0 @@
-{
- "creator": "Yosys 0.8+53 (git sha1 ab97edd, clang 3.8.0-2ubuntu4 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:648"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:650"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:649"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:960"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:963"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:962"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:961"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:994"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:995"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1013"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1012"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1011"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1010"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1009"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1008"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1007"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:996"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:997"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1014"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1134"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1135"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1192"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1195"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1202"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1201"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1200"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1199"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1196"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1194"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1197"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1198"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1193"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1107"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1108"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1109"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1110"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1111"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:969"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:972"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:971"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:970"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:872"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:876"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:890"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:889"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:879"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:877"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:880"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:875"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:874"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:881"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:873"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:878"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:888"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:887"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:886"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:791"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:800"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:798"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:797"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:802"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:799"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:793"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:795"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:794"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:796"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:792"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:801"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:805"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:804"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:803"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:826"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:835"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:833"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:832"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:837"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:834"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:827"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:828"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:830"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:829"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:831"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:836"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:840"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:839"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:838"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:766"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:764"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:763"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:768"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:765"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:758"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:759"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:761"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:760"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:762"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:767"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:771"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:770"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:769"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:695"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:702"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:700"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:699"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:704"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:701"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:697"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:698"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:696"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:703"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:707"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:706"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:705"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:733"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:731"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:730"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:735"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:732"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:727"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:728"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:729"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:734"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:738"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:737"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:736"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:460"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:463"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:461"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:462"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:465"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:466"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:464"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:584"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:587"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:585"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:586"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:589"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:590"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:588"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:522"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:525"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:523"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:524"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:527"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:528"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:526"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:977"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:978"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:980"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:985"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:979"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1057"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1056"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1055"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1054"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1053"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1052"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:923"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:924"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:925"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:928"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:926"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:927"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "keep": 1,
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:863"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:864"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:866"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:865"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "j4a.v:121"
- },
- "ports": {
- "pclk": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "D": {
- "direction": "output",
- "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ]
- },
- "TXD": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "RXD": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "PIOS_00": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "PIOS_01": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "PIOS_02": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "PIOS_03": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "PA": {
- "direction": "inout",
- "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ]
- },
- "MISO": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "MOSI": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "SCL": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MISO2": {
- "direction": "input",
- "bits": [ 44 ]
- },
- "MOSI2": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "SCL2": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "sCS": {
- "direction": "input",
- "bits": [ 47 ]
- },
- "sSCL": {
- "direction": "input",
- "bits": [ 48 ]
- },
- "sMOSI": {
- "direction": "input",
- "bits": [ 49 ]
- },
- "spower": {
- "direction": "output",
- "bits": [ 50, 51, 52 ]
- },
- "reset": {
- "direction": "input",
- "bits": [ 53 ]
- }
- },
- "cells": {
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 55 ],
- "I2": [ 56 ],
- "I3": [ "0" ],
- "O": [ 57 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 59 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 56 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 61 ],
- "I2": [ 62 ],
- "I3": [ 63 ],
- "O": [ 58 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 65 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 66 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 69 ],
- "I3": [ 70 ],
- "O": [ 71 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 73 ],
- "I2": [ 74 ],
- "I3": [ 75 ],
- "O": [ 69 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 76 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 77 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ 64 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 79 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44287
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 81 ],
- "I2": [ 64 ],
- "I3": [ 78 ],
- "O": [ 82 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ 84 ],
- "I2": [ 85 ],
- "I3": [ "0" ],
- "O": [ 81 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 87 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 83 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 88 ],
- "I2": [ 78 ],
- "I3": [ 82 ],
- "O": [ 89 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44287
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 90 ],
- "I1": [ 91 ],
- "I2": [ 64 ],
- "I3": [ 78 ],
- "O": [ 92 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 93 ],
- "I1": [ 84 ],
- "I2": [ 85 ],
- "I3": [ "0" ],
- "O": [ 91 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 87 ],
- "I1": [ 86 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 93 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 94 ],
- "I2": [ 78 ],
- "I3": [ 92 ],
- "O": [ 95 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 96 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 98 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 100 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 101 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 102 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 104 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 105 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 106 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 108 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 109 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 110 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 112 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 113 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 114 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 116 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 118 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 119 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 120 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 121 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 122 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 123 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 126 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 127 ],
- "I1": [ 64 ],
- "I2": [ 97 ],
- "I3": [ "0" ],
- "O": [ 128 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 129 ],
- "I1": [ 130 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 131 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 129 ],
- "I2": [ 133 ],
- "I3": [ 134 ],
- "O": [ 135 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 135 ],
- "I1": [ 136 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 137 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 138 ],
- "I1": [ 139 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 141 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 142 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 139 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 144 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 138 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 145 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 146 ],
- "I1": [ 140 ],
- "I2": [ 138 ],
- "I3": [ "0" ],
- "O": [ 147 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 149 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 150 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 151 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 152 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 153 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 151 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 154 ],
- "I1": [ 71 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 155 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 157 ],
- "I2": [ 158 ],
- "I3": [ 159 ],
- "O": [ 154 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 159 ],
- "I1": [ 66 ],
- "I2": [ 155 ],
- "I3": [ "0" ],
- "O": [ 160 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 161 ],
- "I1": [ 162 ],
- "I2": [ 163 ],
- "I3": [ "0" ],
- "O": [ 164 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 165 ],
- "I1": [ 166 ],
- "I2": [ 167 ],
- "I3": [ 168 ],
- "O": [ 162 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 170 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 165 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 171 ],
- "I1": [ 172 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 173 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 174 ],
- "I2": [ 65 ],
- "I3": [ 164 ],
- "O": [ 172 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 167 ],
- "I2": [ 168 ],
- "I3": [ 165 ],
- "O": [ 174 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 174 ],
- "I2": [ 175 ],
- "I3": [ "0" ],
- "O": [ 171 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 176 ],
- "I1": [ 177 ],
- "I2": [ 178 ],
- "I3": [ "0" ],
- "O": [ 175 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 179 ],
- "I1": [ 180 ],
- "I2": [ 181 ],
- "I3": [ 182 ],
- "O": [ 176 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 171 ],
- "I1": [ 166 ],
- "I2": [ 172 ],
- "I3": [ "0" ],
- "O": [ 183 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 164 ],
- "I1": [ 178 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 184 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 186 ],
- "I2": [ 187 ],
- "I3": [ "0" ],
- "O": [ 188 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 185 ],
- "I2": [ 189 ],
- "I3": [ "0" ],
- "O": [ 190 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 185 ],
- "I1": [ 186 ],
- "I2": [ 191 ],
- "I3": [ "0" ],
- "O": [ 192 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 185 ],
- "I2": [ 193 ],
- "I3": [ "0" ],
- "O": [ 194 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44287
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 196 ],
- "I2": [ 64 ],
- "I3": [ 78 ],
- "O": [ 197 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 87 ],
- "I1": [ 84 ],
- "I2": [ 86 ],
- "I3": [ 85 ],
- "O": [ 196 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 198 ],
- "I2": [ 78 ],
- "I3": [ 197 ],
- "O": [ 199 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 200 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 201 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 202 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 203 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 204 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 205 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 206 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 207 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 208 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 209 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 210 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 211 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 212 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 213 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 214 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 215 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 216 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 217 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 218 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 219 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 220 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 221 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 222 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 223 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 224 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 225 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 226 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 227 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 228 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 229 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 230 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 231 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 232 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 233 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 234 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 235 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 236 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 237 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 238 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 239 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 240 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 241 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 242 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 243 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 244 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 245 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 232 ],
- "I1": [ 246 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 247 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 248 ],
- "I1": [ 138 ],
- "I2": [ 139 ],
- "I3": [ 140 ],
- "O": [ 249 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 232 ],
- "I2": [ 250 ],
- "I3": [ 140 ],
- "O": [ 251 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ 232 ],
- "I2": [ 252 ],
- "I3": [ 140 ],
- "O": [ 253 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 232 ],
- "I2": [ 254 ],
- "I3": [ 140 ],
- "O": [ 255 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 232 ],
- "I2": [ 256 ],
- "I3": [ 140 ],
- "O": [ 257 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 226 ],
- "I1": [ 232 ],
- "I2": [ 258 ],
- "I3": [ 140 ],
- "O": [ 259 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 232 ],
- "I2": [ 260 ],
- "I3": [ 140 ],
- "O": [ 261 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 232 ],
- "I2": [ 262 ],
- "I3": [ 140 ],
- "O": [ 263 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 264 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 266 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 267 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 268 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 269 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 270 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 271 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 272 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 273 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 274 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 275 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 276 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 277 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 278 ],
- "I2": [ 140 ],
- "I3": [ "0" ],
- "O": [ 279 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 280 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 281 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 282 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 283 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 284 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 285 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 286 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 287 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 288 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 289 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 290 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 291 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 292 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 293 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 280 ],
- "I1": [ 294 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 295 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ 296 ],
- "I2": [ 151 ],
- "I3": [ 148 ],
- "O": [ 297 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 280 ],
- "I2": [ 298 ],
- "I3": [ 148 ],
- "O": [ 299 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 280 ],
- "I2": [ 300 ],
- "I3": [ 148 ],
- "O": [ 301 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 280 ],
- "I2": [ 302 ],
- "I3": [ 148 ],
- "O": [ 303 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 280 ],
- "I2": [ 304 ],
- "I3": [ 148 ],
- "O": [ 305 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 280 ],
- "I2": [ 306 ],
- "I3": [ 148 ],
- "O": [ 307 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 280 ],
- "I2": [ 308 ],
- "I3": [ 148 ],
- "O": [ 309 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61576
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 280 ],
- "I2": [ 310 ],
- "I3": [ 148 ],
- "O": [ 311 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 312 ],
- "I1": [ 313 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 314 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 200 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 313 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 315 ],
- "I1": [ 316 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 317 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 202 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 316 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 318 ],
- "I1": [ 319 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 320 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ 204 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 319 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 321 ],
- "I1": [ 322 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 323 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 206 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 322 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 324 ],
- "I1": [ 325 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 326 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 208 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 325 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 327 ],
- "I1": [ 328 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 329 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 226 ],
- "I1": [ 210 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 328 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 330 ],
- "I1": [ 331 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 332 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 212 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 331 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 333 ],
- "I1": [ 334 ],
- "I2": [ 148 ],
- "I3": [ "0" ],
- "O": [ 335 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 214 ],
- "I2": [ 280 ],
- "I3": [ "0" ],
- "O": [ 334 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 336 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 337 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 338 ],
- "I2": [ 99 ],
- "I3": [ "0" ],
- "O": [ 339 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 340 ],
- "I2": [ 101 ],
- "I3": [ "0" ],
- "O": [ 341 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 342 ],
- "I2": [ 103 ],
- "I3": [ "0" ],
- "O": [ 343 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 344 ],
- "I2": [ 105 ],
- "I3": [ "0" ],
- "O": [ 345 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 346 ],
- "I2": [ 107 ],
- "I3": [ "0" ],
- "O": [ 347 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 348 ],
- "I2": [ 109 ],
- "I3": [ "0" ],
- "O": [ 349 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 350 ],
- "I2": [ 111 ],
- "I3": [ "0" ],
- "O": [ 351 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 352 ],
- "I2": [ 113 ],
- "I3": [ "0" ],
- "O": [ 353 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 354 ],
- "I2": [ 115 ],
- "I3": [ "0" ],
- "O": [ 355 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ 356 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 357 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 358 ],
- "I2": [ 119 ],
- "I3": [ "0" ],
- "O": [ 359 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 360 ],
- "I2": [ 121 ],
- "I3": [ "0" ],
- "O": [ 361 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 226 ],
- "I1": [ 362 ],
- "I2": [ 123 ],
- "I3": [ "0" ],
- "O": [ 363 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 364 ],
- "I2": [ 125 ],
- "I3": [ "0" ],
- "O": [ 365 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 366 ],
- "I2": [ 127 ],
- "I3": [ "0" ],
- "O": [ 367 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 368 ],
- "I2": [ 96 ],
- "I3": [ "0" ],
- "O": [ 369 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 202 ],
- "I1": [ 370 ],
- "I2": [ 99 ],
- "I3": [ "0" ],
- "O": [ 371 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 372 ],
- "I2": [ 101 ],
- "I3": [ "0" ],
- "O": [ 373 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 374 ],
- "I2": [ 103 ],
- "I3": [ "0" ],
- "O": [ 375 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 208 ],
- "I1": [ 376 ],
- "I2": [ 105 ],
- "I3": [ "0" ],
- "O": [ 377 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 378 ],
- "I2": [ 107 ],
- "I3": [ "0" ],
- "O": [ 379 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 212 ],
- "I1": [ 380 ],
- "I2": [ 109 ],
- "I3": [ "0" ],
- "O": [ 381 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 382 ],
- "I2": [ 111 ],
- "I3": [ "0" ],
- "O": [ 383 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 216 ],
- "I1": [ 384 ],
- "I2": [ 113 ],
- "I3": [ "0" ],
- "O": [ 385 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 218 ],
- "I1": [ 386 ],
- "I2": [ 115 ],
- "I3": [ "0" ],
- "O": [ 387 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 220 ],
- "I1": [ 388 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 389 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 390 ],
- "I2": [ 119 ],
- "I3": [ "0" ],
- "O": [ 391 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 392 ],
- "I2": [ 121 ],
- "I3": [ "0" ],
- "O": [ 393 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 226 ],
- "I1": [ 394 ],
- "I2": [ 123 ],
- "I3": [ "0" ],
- "O": [ 395 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 228 ],
- "I1": [ 396 ],
- "I2": [ 125 ],
- "I3": [ "0" ],
- "O": [ 397 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 230 ],
- "I1": [ 398 ],
- "I2": [ 127 ],
- "I3": [ "0" ],
- "O": [ 399 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 400 ],
- "I1": [ 200 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 401 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 202 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 403 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 404 ],
- "I1": [ 204 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 405 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 406 ],
- "I1": [ 206 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 407 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 408 ],
- "I1": [ 208 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 409 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 210 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 411 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 412 ],
- "I1": [ 212 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 413 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 414 ],
- "I1": [ 171 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 415 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 171 ],
- "I1": [ 169 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 416 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 417 ],
- "I1": [ 171 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 418 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 419 ],
- "I1": [ 171 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 420 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 421 ],
- "I1": [ 171 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 422 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 423 ],
- "I1": [ 424 ],
- "I2": [ 425 ],
- "I3": [ "0" ],
- "O": [ 426 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 63 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 424 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 61 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 427 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ 60 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 425 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45056
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 428 ],
- "I1": [ 63 ],
- "I2": [ 427 ],
- "I3": [ 62 ],
- "O": [ 429 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ 431 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 432 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 433 ],
- "I1": [ 434 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 431 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 435 ],
- "I1": [ 436 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 437 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ 439 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 436 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 440 ],
- "I1": [ 441 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 442 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 443 ],
- "I1": [ 444 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 441 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 445 ],
- "I1": [ 446 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 447 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 449 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 446 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 451 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 452 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 454 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 451 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 456 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 457 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 458 ],
- "I1": [ 459 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 456 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 460 ],
- "I1": [ 461 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 462 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 464 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 461 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 465 ],
- "I1": [ 466 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 467 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 468 ],
- "I1": [ 469 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 466 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 470 ],
- "I1": [ 471 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 472 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ 474 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 471 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 475 ],
- "I1": [ 476 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 477 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 478 ],
- "I1": [ 479 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 476 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 480 ],
- "I1": [ 481 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 482 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 483 ],
- "I1": [ 484 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 481 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 486 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 487 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 488 ],
- "I1": [ 489 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 486 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 490 ],
- "I1": [ 491 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 492 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 493 ],
- "I1": [ 494 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 491 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 495 ],
- "I1": [ 496 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 497 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 498 ],
- "I1": [ 499 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 496 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 501 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 502 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 504 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 501 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 505 ],
- "I1": [ 506 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 507 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 509 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 506 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 434 ],
- "I1": [ 510 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 511 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 430 ],
- "I1": [ 512 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 510 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 439 ],
- "I1": [ 513 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 514 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 435 ],
- "I1": [ 515 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 513 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ 516 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 517 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 440 ],
- "I1": [ 518 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 516 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 519 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 520 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 445 ],
- "I1": [ 521 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 519 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 454 ],
- "I1": [ 522 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 523 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 524 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 522 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 459 ],
- "I1": [ 525 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 526 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 455 ],
- "I1": [ 527 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 525 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 464 ],
- "I1": [ 528 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 529 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 460 ],
- "I1": [ 530 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 528 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 469 ],
- "I1": [ 531 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 532 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 465 ],
- "I1": [ 533 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 531 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 474 ],
- "I1": [ 534 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 535 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 470 ],
- "I1": [ 536 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 534 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 479 ],
- "I1": [ 537 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 538 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 475 ],
- "I1": [ 539 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 537 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 540 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 541 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 480 ],
- "I1": [ 542 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 540 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 489 ],
- "I1": [ 543 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 544 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 485 ],
- "I1": [ 545 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 543 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 546 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 547 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 490 ],
- "I1": [ 548 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 546 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 499 ],
- "I1": [ 549 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 550 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 495 ],
- "I1": [ 551 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 549 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 504 ],
- "I1": [ 552 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 553 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 500 ],
- "I1": [ 554 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 552 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 555 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 556 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 505 ],
- "I1": [ 557 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 555 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ 558 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 559 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 434 ],
- "I1": [ 560 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 558 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 515 ],
- "I1": [ 561 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 562 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 439 ],
- "I1": [ 563 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 561 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 518 ],
- "I1": [ 564 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 565 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ 566 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 564 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ 567 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 568 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 449 ],
- "I1": [ 569 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 570 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 571 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 454 ],
- "I1": [ 572 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 570 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 527 ],
- "I1": [ 573 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 574 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 459 ],
- "I1": [ 575 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 573 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 530 ],
- "I1": [ 576 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 577 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 464 ],
- "I1": [ 578 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 576 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 533 ],
- "I1": [ 579 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 580 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 469 ],
- "I1": [ 581 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 579 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 536 ],
- "I1": [ 582 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 583 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 474 ],
- "I1": [ 584 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 582 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 539 ],
- "I1": [ 585 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 586 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 479 ],
- "I1": [ 587 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 585 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 542 ],
- "I1": [ 588 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 589 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 590 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 588 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 545 ],
- "I1": [ 591 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 592 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 489 ],
- "I1": [ 593 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 591 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 548 ],
- "I1": [ 594 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 595 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 494 ],
- "I1": [ 596 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 594 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 551 ],
- "I1": [ 597 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 598 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 499 ],
- "I1": [ 599 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 597 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 554 ],
- "I1": [ 600 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 601 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 504 ],
- "I1": [ 602 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 600 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 557 ],
- "I1": [ 603 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 604 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 509 ],
- "I1": [ 605 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 603 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 560 ],
- "I1": [ 606 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 607 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 512 ],
- "I1": [ 608 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 606 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 563 ],
- "I1": [ 609 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 610 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 515 ],
- "I1": [ 611 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 609 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 612 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 613 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 518 ],
- "I1": [ 614 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 612 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 615 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 616 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 521 ],
- "I1": [ 617 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 615 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 572 ],
- "I1": [ 618 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 619 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 524 ],
- "I1": [ 620 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 618 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 621 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 622 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 527 ],
- "I1": [ 623 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 621 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 624 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 625 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 530 ],
- "I1": [ 626 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 624 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 627 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 628 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 533 ],
- "I1": [ 629 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 627 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 584 ],
- "I1": [ 630 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 631 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 536 ],
- "I1": [ 632 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 630 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 587 ],
- "I1": [ 633 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 634 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 539 ],
- "I1": [ 635 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 633 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 590 ],
- "I1": [ 636 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 637 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 542 ],
- "I1": [ 638 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 636 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 593 ],
- "I1": [ 639 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 640 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 545 ],
- "I1": [ 641 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 639 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 596 ],
- "I1": [ 642 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 643 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 548 ],
- "I1": [ 644 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 642 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 599 ],
- "I1": [ 645 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 646 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 551 ],
- "I1": [ 647 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 645 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 602 ],
- "I1": [ 648 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 649 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 554 ],
- "I1": [ 650 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 648 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 651 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 652 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 557 ],
- "I1": [ 653 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 651 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 608 ],
- "I1": [ 654 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 655 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 560 ],
- "I1": [ 656 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 654 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 611 ],
- "I1": [ 657 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 658 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 563 ],
- "I1": [ 659 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 657 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 660 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 661 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 566 ],
- "I1": [ 662 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 660 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 663 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 664 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 569 ],
- "I1": [ 665 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 663 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 620 ],
- "I1": [ 666 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 667 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 572 ],
- "I1": [ 668 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 666 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 623 ],
- "I1": [ 669 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 670 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 575 ],
- "I1": [ 671 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 669 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 626 ],
- "I1": [ 672 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 673 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 674 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 672 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 675 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 676 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 581 ],
- "I1": [ 677 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 675 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 632 ],
- "I1": [ 678 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 679 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 584 ],
- "I1": [ 680 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 678 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 635 ],
- "I1": [ 681 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 682 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 587 ],
- "I1": [ 683 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 681 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 638 ],
- "I1": [ 684 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 685 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 590 ],
- "I1": [ 686 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 684 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ 687 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 688 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 593 ],
- "I1": [ 689 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 687 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 644 ],
- "I1": [ 690 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 691 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 596 ],
- "I1": [ 692 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 690 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 693 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 694 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 599 ],
- "I1": [ 695 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 693 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 650 ],
- "I1": [ 696 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 697 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 602 ],
- "I1": [ 698 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 696 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 653 ],
- "I1": [ 699 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 700 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 605 ],
- "I1": [ 701 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 699 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 656 ],
- "I1": [ 702 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 703 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 608 ],
- "I1": [ 704 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 702 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 659 ],
- "I1": [ 705 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 706 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 611 ],
- "I1": [ 707 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 705 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 662 ],
- "I1": [ 708 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 709 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 614 ],
- "I1": [ 710 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 708 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 665 ],
- "I1": [ 711 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 712 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 617 ],
- "I1": [ 713 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 711 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 668 ],
- "I1": [ 714 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 715 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 620 ],
- "I1": [ 716 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 714 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 671 ],
- "I1": [ 717 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 718 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 623 ],
- "I1": [ 719 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 717 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 674 ],
- "I1": [ 720 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 721 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 626 ],
- "I1": [ 722 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 720 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 677 ],
- "I1": [ 723 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 724 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ 725 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 723 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 680 ],
- "I1": [ 726 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 727 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 632 ],
- "I1": [ 728 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 726 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 683 ],
- "I1": [ 729 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 730 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 635 ],
- "I1": [ 731 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 729 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 686 ],
- "I1": [ 732 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 733 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 638 ],
- "I1": [ 734 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 732 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 689 ],
- "I1": [ 735 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 736 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ 737 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 735 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 692 ],
- "I1": [ 738 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 739 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 644 ],
- "I1": [ 740 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 738 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 695 ],
- "I1": [ 741 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 742 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 647 ],
- "I1": [ 743 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 741 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 698 ],
- "I1": [ 744 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 745 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 650 ],
- "I1": [ 746 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 744 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 701 ],
- "I1": [ 747 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 748 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 653 ],
- "I1": [ 749 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 747 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 704 ],
- "I1": [ 750 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 751 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 656 ],
- "I1": [ 752 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 750 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 707 ],
- "I1": [ 753 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 754 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 659 ],
- "I1": [ 755 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 753 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 710 ],
- "I1": [ 756 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 757 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 662 ],
- "I1": [ 758 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 756 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 713 ],
- "I1": [ 759 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 760 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 665 ],
- "I1": [ 761 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 759 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 716 ],
- "I1": [ 762 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 763 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 668 ],
- "I1": [ 764 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 762 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 765 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 766 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 671 ],
- "I1": [ 767 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 765 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 722 ],
- "I1": [ 768 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 769 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 674 ],
- "I1": [ 770 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 768 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 725 ],
- "I1": [ 771 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 772 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 677 ],
- "I1": [ 773 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 771 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 728 ],
- "I1": [ 774 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 775 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 680 ],
- "I1": [ 776 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 774 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 731 ],
- "I1": [ 777 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 778 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 683 ],
- "I1": [ 779 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 777 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 734 ],
- "I1": [ 780 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 781 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 686 ],
- "I1": [ 782 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 780 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 737 ],
- "I1": [ 783 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 784 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 689 ],
- "I1": [ 785 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 783 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 740 ],
- "I1": [ 786 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 787 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 692 ],
- "I1": [ 788 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 786 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 743 ],
- "I1": [ 789 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 790 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 695 ],
- "I1": [ 791 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 789 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 746 ],
- "I1": [ 792 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 793 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 698 ],
- "I1": [ 794 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 792 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 749 ],
- "I1": [ 795 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 796 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 701 ],
- "I1": [ 797 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 795 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 752 ],
- "I1": [ 798 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 799 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 704 ],
- "I1": [ 800 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 798 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 801 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 802 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 707 ],
- "I1": [ 803 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 801 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 758 ],
- "I1": [ 804 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 805 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 710 ],
- "I1": [ 806 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 804 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 761 ],
- "I1": [ 807 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 808 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 713 ],
- "I1": [ 809 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 807 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 764 ],
- "I1": [ 810 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 811 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 716 ],
- "I1": [ 812 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 810 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 767 ],
- "I1": [ 813 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 814 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 719 ],
- "I1": [ 815 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 813 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 770 ],
- "I1": [ 816 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 817 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 722 ],
- "I1": [ 818 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 816 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 819 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 820 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 725 ],
- "I1": [ 821 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 819 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 776 ],
- "I1": [ 822 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 823 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 728 ],
- "I1": [ 824 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 822 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 779 ],
- "I1": [ 825 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 826 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 731 ],
- "I1": [ 827 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 825 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 828 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 829 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 734 ],
- "I1": [ 830 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 828 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 785 ],
- "I1": [ 831 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 832 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 737 ],
- "I1": [ 833 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 831 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 788 ],
- "I1": [ 834 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 835 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 740 ],
- "I1": [ 836 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 834 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 791 ],
- "I1": [ 837 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 838 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 743 ],
- "I1": [ 839 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 837 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 794 ],
- "I1": [ 840 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 841 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 746 ],
- "I1": [ 842 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 840 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 797 ],
- "I1": [ 843 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 844 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 749 ],
- "I1": [ 845 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 843 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 800 ],
- "I1": [ 846 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 847 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 752 ],
- "I1": [ 848 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 846 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 849 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 850 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 755 ],
- "I1": [ 851 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 849 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 806 ],
- "I1": [ 852 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 853 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 758 ],
- "I1": [ 854 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 852 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 809 ],
- "I1": [ 855 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 856 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 761 ],
- "I1": [ 857 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 855 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 812 ],
- "I1": [ 858 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 859 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 764 ],
- "I1": [ 860 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 858 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 815 ],
- "I1": [ 861 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 862 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 767 ],
- "I1": [ 863 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 861 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 818 ],
- "I1": [ 864 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 865 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 770 ],
- "I1": [ 866 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 864 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 821 ],
- "I1": [ 867 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 868 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 773 ],
- "I1": [ 869 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 867 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 824 ],
- "I1": [ 870 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 871 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 776 ],
- "I1": [ 872 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 870 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 827 ],
- "I1": [ 873 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 874 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 779 ],
- "I1": [ 875 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 873 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 830 ],
- "I1": [ 876 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 877 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 782 ],
- "I1": [ 878 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 876 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 833 ],
- "I1": [ 879 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 880 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 785 ],
- "I1": [ 881 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 879 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 836 ],
- "I1": [ 882 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 883 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 788 ],
- "I1": [ 884 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 882 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 885 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 886 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 791 ],
- "I1": [ 887 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 885 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 842 ],
- "I1": [ 888 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 889 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 794 ],
- "I1": [ 890 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 888 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 845 ],
- "I1": [ 891 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 892 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 797 ],
- "I1": [ 893 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 891 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 848 ],
- "I1": [ 894 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 895 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 800 ],
- "I1": [ 896 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 894 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 897 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 898 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 803 ],
- "I1": [ 899 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 897 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 854 ],
- "I1": [ 900 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 901 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 806 ],
- "I1": [ 902 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 900 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 857 ],
- "I1": [ 903 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 904 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 809 ],
- "I1": [ 905 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 903 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 860 ],
- "I1": [ 906 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 907 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 812 ],
- "I1": [ 908 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 906 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 863 ],
- "I1": [ 909 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 910 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 815 ],
- "I1": [ 911 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 909 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 866 ],
- "I1": [ 912 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 913 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 818 ],
- "I1": [ 914 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 912 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 869 ],
- "I1": [ 915 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 916 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 821 ],
- "I1": [ 917 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 915 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 872 ],
- "I1": [ 918 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 919 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 824 ],
- "I1": [ 920 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 918 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 875 ],
- "I1": [ 921 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 922 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 827 ],
- "I1": [ 923 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 921 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 878 ],
- "I1": [ 924 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 925 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 830 ],
- "I1": [ 926 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 924 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 881 ],
- "I1": [ 927 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 928 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 833 ],
- "I1": [ 929 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 927 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 884 ],
- "I1": [ 930 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 931 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 836 ],
- "I1": [ 932 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 930 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 887 ],
- "I1": [ 933 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 934 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 839 ],
- "I1": [ 935 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 933 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 890 ],
- "I1": [ 936 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 937 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 842 ],
- "I1": [ 938 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 936 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 893 ],
- "I1": [ 939 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 940 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 845 ],
- "I1": [ 941 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 939 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 896 ],
- "I1": [ 942 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 943 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 848 ],
- "I1": [ 944 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 942 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 899 ],
- "I1": [ 945 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 946 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 851 ],
- "I1": [ 947 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 945 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 902 ],
- "I1": [ 948 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 949 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 854 ],
- "I1": [ 950 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 948 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 905 ],
- "I1": [ 951 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 952 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 857 ],
- "I1": [ 953 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 951 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 908 ],
- "I1": [ 954 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 955 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 860 ],
- "I1": [ 956 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 954 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 911 ],
- "I1": [ 957 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 958 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 863 ],
- "I1": [ 959 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 957 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 914 ],
- "I1": [ 960 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 961 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 866 ],
- "I1": [ 962 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 960 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 917 ],
- "I1": [ 963 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 964 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 869 ],
- "I1": [ 965 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 963 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 920 ],
- "I1": [ 966 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 967 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 872 ],
- "I1": [ 968 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 966 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 923 ],
- "I1": [ 969 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 970 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 875 ],
- "I1": [ 971 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 969 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 926 ],
- "I1": [ 972 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 973 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 878 ],
- "I1": [ 974 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 972 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 929 ],
- "I1": [ 975 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 976 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 881 ],
- "I1": [ 977 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 975 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 932 ],
- "I1": [ 978 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 979 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 884 ],
- "I1": [ 980 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 978 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 935 ],
- "I1": [ 981 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 982 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 887 ],
- "I1": [ 983 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 981 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 984 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 985 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 890 ],
- "I1": [ 986 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 984 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 941 ],
- "I1": [ 987 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 988 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 893 ],
- "I1": [ 989 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 987 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 944 ],
- "I1": [ 990 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 991 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 896 ],
- "I1": [ 992 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 990 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 947 ],
- "I1": [ 993 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 994 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 899 ],
- "I1": [ 995 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 993 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 950 ],
- "I1": [ 996 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 997 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 902 ],
- "I1": [ 998 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 996 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 953 ],
- "I1": [ 999 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1000 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 905 ],
- "I1": [ 1001 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 999 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 956 ],
- "I1": [ 1002 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1003 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 908 ],
- "I1": [ 1004 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1002 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 959 ],
- "I1": [ 1005 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1006 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 911 ],
- "I1": [ 1007 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1005 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 962 ],
- "I1": [ 1008 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1009 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 914 ],
- "I1": [ 1010 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1008 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 965 ],
- "I1": [ 1011 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1012 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 917 ],
- "I1": [ 1013 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1011 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 1014 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1015 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 920 ],
- "I1": [ 1016 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1014 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 971 ],
- "I1": [ 1017 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1018 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 923 ],
- "I1": [ 1019 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1017 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 974 ],
- "I1": [ 1020 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1021 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 926 ],
- "I1": [ 1022 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1020 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 977 ],
- "I1": [ 1023 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1024 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 929 ],
- "I1": [ 1025 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1023 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 980 ],
- "I1": [ 1026 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1027 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 932 ],
- "I1": [ 1028 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1026 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 983 ],
- "I1": [ 1029 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1030 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 935 ],
- "I1": [ 1031 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1029 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 986 ],
- "I1": [ 1032 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1033 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 938 ],
- "I1": [ 1034 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1032 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 989 ],
- "I1": [ 1035 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1036 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 941 ],
- "I1": [ 1037 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1035 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 992 ],
- "I1": [ 1038 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1039 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 944 ],
- "I1": [ 1040 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1038 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 995 ],
- "I1": [ 1041 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1042 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 947 ],
- "I1": [ 1043 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1041 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 998 ],
- "I1": [ 1044 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1045 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 950 ],
- "I1": [ 1046 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1044 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1001 ],
- "I1": [ 1047 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1048 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 953 ],
- "I1": [ 1049 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1047 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1004 ],
- "I1": [ 1050 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1051 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 956 ],
- "I1": [ 1052 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1050 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1007 ],
- "I1": [ 1053 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1054 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 959 ],
- "I1": [ 1055 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1053 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1056 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1057 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 962 ],
- "I1": [ 1058 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1056 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1013 ],
- "I1": [ 1059 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1060 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 965 ],
- "I1": [ 1061 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1059 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1016 ],
- "I1": [ 1062 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1063 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 968 ],
- "I1": [ 1064 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1062 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1019 ],
- "I1": [ 1065 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1066 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 971 ],
- "I1": [ 1067 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1065 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1022 ],
- "I1": [ 1068 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1069 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 974 ],
- "I1": [ 1070 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1068 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1025 ],
- "I1": [ 1071 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1072 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 977 ],
- "I1": [ 1073 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1071 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1028 ],
- "I1": [ 1074 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1075 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 980 ],
- "I1": [ 1076 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1074 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1031 ],
- "I1": [ 1077 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1078 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 983 ],
- "I1": [ 1079 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1077 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1034 ],
- "I1": [ 1080 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1081 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 986 ],
- "I1": [ 1082 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1080 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1037 ],
- "I1": [ 1083 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1084 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 989 ],
- "I1": [ 1085 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1083 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1040 ],
- "I1": [ 1086 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1087 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 992 ],
- "I1": [ 1088 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1086 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 1089 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1090 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 995 ],
- "I1": [ 1091 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1089 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1046 ],
- "I1": [ 1092 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1093 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 998 ],
- "I1": [ 1094 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1092 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1049 ],
- "I1": [ 1095 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1096 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1001 ],
- "I1": [ 1097 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1095 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1052 ],
- "I1": [ 1098 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1099 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1004 ],
- "I1": [ 1100 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1098 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1055 ],
- "I1": [ 1101 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1102 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1007 ],
- "I1": [ 1103 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1101 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 1104 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1105 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1010 ],
- "I1": [ 1106 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1104 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1061 ],
- "I1": [ 1107 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1108 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1013 ],
- "I1": [ 1109 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1107 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1110 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1111 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1016 ],
- "I1": [ 1112 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1110 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1067 ],
- "I1": [ 1113 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1114 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1019 ],
- "I1": [ 1115 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1113 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1070 ],
- "I1": [ 1116 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1117 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1022 ],
- "I1": [ 1118 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1116 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 1119 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1120 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1025 ],
- "I1": [ 1121 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1119 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1076 ],
- "I1": [ 1122 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1123 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1028 ],
- "I1": [ 1124 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1122 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 1125 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1126 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1031 ],
- "I1": [ 1127 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1125 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1082 ],
- "I1": [ 1128 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1129 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1034 ],
- "I1": [ 1130 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1128 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1085 ],
- "I1": [ 1131 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1132 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1037 ],
- "I1": [ 1133 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1131 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1088 ],
- "I1": [ 1134 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1135 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1040 ],
- "I1": [ 1136 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1134 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1091 ],
- "I1": [ 1137 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1138 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1043 ],
- "I1": [ 1139 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1137 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1094 ],
- "I1": [ 1140 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1141 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1046 ],
- "I1": [ 1142 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1140 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1097 ],
- "I1": [ 1143 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1144 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1049 ],
- "I1": [ 1145 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1143 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1100 ],
- "I1": [ 1146 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1147 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1052 ],
- "I1": [ 1148 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1146 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1103 ],
- "I1": [ 1149 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1150 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1055 ],
- "I1": [ 1151 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1149 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1106 ],
- "I1": [ 1152 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1153 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1058 ],
- "I1": [ 1154 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1152 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1109 ],
- "I1": [ 1155 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1156 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1061 ],
- "I1": [ 1157 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1155 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1112 ],
- "I1": [ 1158 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1159 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1064 ],
- "I1": [ 1160 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1158 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1115 ],
- "I1": [ 1161 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1162 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1067 ],
- "I1": [ 1163 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1161 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1118 ],
- "I1": [ 1164 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1165 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1070 ],
- "I1": [ 1166 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1164 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1121 ],
- "I1": [ 1167 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1168 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1073 ],
- "I1": [ 1169 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1167 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1124 ],
- "I1": [ 1170 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1171 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1076 ],
- "I1": [ 1172 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1170 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1127 ],
- "I1": [ 1173 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1174 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1079 ],
- "I1": [ 1175 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1173 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1130 ],
- "I1": [ 1176 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1177 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1082 ],
- "I1": [ 1178 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1176 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1133 ],
- "I1": [ 1179 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1180 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1085 ],
- "I1": [ 1181 ],
- "I2": [ 429 ],
- "I3": [ "0" ],
- "O": [ 1179 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1088 ],
- "I2": [ 1136 ],
- "I3": [ 426 ],
- "O": [ 1182 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1091 ],
- "I2": [ 1139 ],
- "I3": [ 426 ],
- "O": [ 1183 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1094 ],
- "I2": [ 1142 ],
- "I3": [ 426 ],
- "O": [ 1184 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1097 ],
- "I2": [ 1145 ],
- "I3": [ 426 ],
- "O": [ 1185 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1100 ],
- "I2": [ 1148 ],
- "I3": [ 426 ],
- "O": [ 1186 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1103 ],
- "I2": [ 1151 ],
- "I3": [ 426 ],
- "O": [ 1187 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1106 ],
- "I2": [ 1154 ],
- "I3": [ 426 ],
- "O": [ 1188 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1109 ],
- "I2": [ 1157 ],
- "I3": [ 426 ],
- "O": [ 1189 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1112 ],
- "I2": [ 1160 ],
- "I3": [ 426 ],
- "O": [ 1190 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1115 ],
- "I2": [ 1163 ],
- "I3": [ 426 ],
- "O": [ 1191 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1118 ],
- "I2": [ 1166 ],
- "I3": [ 426 ],
- "O": [ 1192 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1121 ],
- "I2": [ 1169 ],
- "I3": [ 426 ],
- "O": [ 1193 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1124 ],
- "I2": [ 1172 ],
- "I3": [ 426 ],
- "O": [ 1194 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1127 ],
- "I2": [ 1175 ],
- "I3": [ 426 ],
- "O": [ 1195 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61168
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1130 ],
- "I2": [ 1178 ],
- "I3": [ 426 ],
- "O": [ 1196 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 17648
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 1133 ],
- "I2": [ 1181 ],
- "I3": [ 426 ],
- "O": [ 1197 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 1199 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1201 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 433 ],
- "I1": [ 430 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1199 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1202 ],
- "I1": [ 427 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 1200 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 54 ],
- "I2": [ 58 ],
- "I3": [ 1203 ],
- "O": [ 1202 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1204 ],
- "I1": [ 1205 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1206 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ 435 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1205 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ 1208 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1209 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 443 ],
- "I1": [ 440 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1208 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1210 ],
- "I1": [ 1211 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1212 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 448 ],
- "I1": [ 445 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1211 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1213 ],
- "I1": [ 1214 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1215 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 450 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1214 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ 1217 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1218 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 458 ],
- "I1": [ 455 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1217 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 1220 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1221 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 460 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1220 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1222 ],
- "I1": [ 1223 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1224 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 468 ],
- "I1": [ 465 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1223 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1225 ],
- "I1": [ 1226 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1227 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 473 ],
- "I1": [ 470 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1226 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1228 ],
- "I1": [ 1229 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1230 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 478 ],
- "I1": [ 475 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1229 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1231 ],
- "I1": [ 1232 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1233 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 483 ],
- "I1": [ 480 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1232 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ 1235 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1236 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 488 ],
- "I1": [ 485 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1235 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 1238 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1239 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 493 ],
- "I1": [ 490 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1238 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1240 ],
- "I1": [ 1241 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1242 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 498 ],
- "I1": [ 495 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1241 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1243 ],
- "I1": [ 1244 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1245 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 500 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1244 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 1247 ],
- "I2": [ 1200 ],
- "I3": [ "0" ],
- "O": [ 1248 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 508 ],
- "I1": [ 505 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 1247 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1249 ],
- "I1": [ 1250 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1252 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1253 ],
- "I1": [ 62 ],
- "I2": [ 424 ],
- "I3": [ 61 ],
- "O": [ 1251 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1254 ],
- "I1": [ 1255 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1249 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1257 ],
- "I1": [ 58 ],
- "I2": [ 61 ],
- "I3": [ "0" ],
- "O": [ 1256 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1258 ],
- "I1": [ 1259 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1260 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1261 ],
- "I1": [ 1262 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1258 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1263 ],
- "I1": [ 1264 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1265 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1266 ],
- "I1": [ 1267 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1263 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1268 ],
- "I1": [ 1269 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1270 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1271 ],
- "I1": [ 1272 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1268 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1273 ],
- "I1": [ 1274 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1275 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1276 ],
- "I1": [ 1277 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1273 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1278 ],
- "I1": [ 1279 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1280 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1281 ],
- "I1": [ 1282 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1278 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1283 ],
- "I1": [ 1284 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1285 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1286 ],
- "I1": [ 1287 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1283 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1288 ],
- "I1": [ 1289 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1290 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1291 ],
- "I1": [ 1292 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1288 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1293 ],
- "I1": [ 1294 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1295 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1296 ],
- "I1": [ 1297 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1293 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1298 ],
- "I1": [ 1299 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1300 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1301 ],
- "I1": [ 1302 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1298 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1303 ],
- "I1": [ 1304 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1305 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1306 ],
- "I1": [ 1307 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1303 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1308 ],
- "I1": [ 1309 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1310 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1311 ],
- "I1": [ 1312 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1308 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1313 ],
- "I1": [ 1314 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1315 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1316 ],
- "I1": [ 1317 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1313 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1318 ],
- "I1": [ 1319 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1320 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1321 ],
- "I1": [ 1322 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1318 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1323 ],
- "I1": [ 1324 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1325 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1326 ],
- "I1": [ 1327 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1323 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1328 ],
- "I1": [ 1329 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1330 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1331 ],
- "I1": [ 1332 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1328 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1333 ],
- "I1": [ 1254 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1334 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1335 ],
- "I1": [ 1250 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1333 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1336 ],
- "I1": [ 1261 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1337 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1338 ],
- "I1": [ 1259 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1336 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1339 ],
- "I1": [ 1266 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1340 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1341 ],
- "I1": [ 1264 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1339 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1342 ],
- "I1": [ 1271 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1343 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1344 ],
- "I1": [ 1269 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1342 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1345 ],
- "I1": [ 1276 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1346 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1347 ],
- "I1": [ 1274 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1345 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1348 ],
- "I1": [ 1281 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1349 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1350 ],
- "I1": [ 1279 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1348 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1351 ],
- "I1": [ 1286 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1352 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1353 ],
- "I1": [ 1284 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1351 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1354 ],
- "I1": [ 1291 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1355 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1356 ],
- "I1": [ 1289 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1354 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1357 ],
- "I1": [ 1296 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1358 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1359 ],
- "I1": [ 1294 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1357 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1360 ],
- "I1": [ 1301 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1361 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1362 ],
- "I1": [ 1299 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1360 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1363 ],
- "I1": [ 1306 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1364 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1365 ],
- "I1": [ 1304 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1363 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1366 ],
- "I1": [ 1311 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1367 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1368 ],
- "I1": [ 1309 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1366 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1369 ],
- "I1": [ 1316 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1370 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1371 ],
- "I1": [ 1314 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1369 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1372 ],
- "I1": [ 1321 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1373 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1374 ],
- "I1": [ 1319 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1372 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1375 ],
- "I1": [ 1326 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1376 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1377 ],
- "I1": [ 1324 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1375 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1378 ],
- "I1": [ 1331 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1379 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1380 ],
- "I1": [ 1329 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1378 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1381 ],
- "I1": [ 1335 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1382 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1383 ],
- "I1": [ 1254 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1381 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1384 ],
- "I1": [ 1338 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1385 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1386 ],
- "I1": [ 1261 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1384 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1387 ],
- "I1": [ 1341 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1388 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1389 ],
- "I1": [ 1266 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1387 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1390 ],
- "I1": [ 1344 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1391 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1392 ],
- "I1": [ 1271 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1390 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1393 ],
- "I1": [ 1347 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1394 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1395 ],
- "I1": [ 1276 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1393 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1396 ],
- "I1": [ 1350 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1397 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1398 ],
- "I1": [ 1281 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1396 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1399 ],
- "I1": [ 1353 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1400 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1401 ],
- "I1": [ 1286 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1399 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1402 ],
- "I1": [ 1356 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1403 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1404 ],
- "I1": [ 1291 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1402 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1405 ],
- "I1": [ 1359 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1406 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1407 ],
- "I1": [ 1296 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1405 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1408 ],
- "I1": [ 1362 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1409 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1410 ],
- "I1": [ 1301 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1408 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1411 ],
- "I1": [ 1365 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1412 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1413 ],
- "I1": [ 1306 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1411 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1414 ],
- "I1": [ 1368 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1415 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1416 ],
- "I1": [ 1311 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1414 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1417 ],
- "I1": [ 1371 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1418 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1419 ],
- "I1": [ 1316 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1417 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1420 ],
- "I1": [ 1374 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1421 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1422 ],
- "I1": [ 1321 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1420 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1423 ],
- "I1": [ 1377 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1424 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1425 ],
- "I1": [ 1326 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1423 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1426 ],
- "I1": [ 1380 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1427 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1428 ],
- "I1": [ 1331 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1426 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1429 ],
- "I1": [ 1383 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1430 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1431 ],
- "I1": [ 1335 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1429 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1432 ],
- "I1": [ 1386 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1433 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1434 ],
- "I1": [ 1338 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1432 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1435 ],
- "I1": [ 1389 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1436 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1437 ],
- "I1": [ 1341 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1435 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1438 ],
- "I1": [ 1392 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1439 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1440 ],
- "I1": [ 1344 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1438 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1441 ],
- "I1": [ 1395 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1442 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1443 ],
- "I1": [ 1347 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1441 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1444 ],
- "I1": [ 1398 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1445 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1446 ],
- "I1": [ 1350 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1444 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1447 ],
- "I1": [ 1401 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1448 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1449 ],
- "I1": [ 1353 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1447 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1450 ],
- "I1": [ 1404 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1451 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1452 ],
- "I1": [ 1356 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1450 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1453 ],
- "I1": [ 1407 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1454 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1455 ],
- "I1": [ 1359 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1453 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1456 ],
- "I1": [ 1410 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1457 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1458 ],
- "I1": [ 1362 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1456 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1459 ],
- "I1": [ 1413 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1460 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1461 ],
- "I1": [ 1365 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1459 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1462 ],
- "I1": [ 1416 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1463 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1464 ],
- "I1": [ 1368 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1462 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1465 ],
- "I1": [ 1419 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1466 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1467 ],
- "I1": [ 1371 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1465 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1468 ],
- "I1": [ 1422 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1469 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1470 ],
- "I1": [ 1374 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1468 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1471 ],
- "I1": [ 1425 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1472 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1473 ],
- "I1": [ 1377 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1471 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1474 ],
- "I1": [ 1428 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1475 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1476 ],
- "I1": [ 1380 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1474 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1477 ],
- "I1": [ 1431 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1478 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1479 ],
- "I1": [ 1383 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1477 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1480 ],
- "I1": [ 1434 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1481 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1482 ],
- "I1": [ 1386 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1480 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1483 ],
- "I1": [ 1437 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1484 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1485 ],
- "I1": [ 1389 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1483 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1486 ],
- "I1": [ 1440 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1487 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1488 ],
- "I1": [ 1392 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1486 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1489 ],
- "I1": [ 1443 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1490 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1491 ],
- "I1": [ 1395 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1489 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1492 ],
- "I1": [ 1446 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1493 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1494 ],
- "I1": [ 1398 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1492 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1495 ],
- "I1": [ 1449 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1496 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1497 ],
- "I1": [ 1401 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1495 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1498 ],
- "I1": [ 1452 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1499 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1500 ],
- "I1": [ 1404 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1498 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1501 ],
- "I1": [ 1455 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1502 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1503 ],
- "I1": [ 1407 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1501 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1504 ],
- "I1": [ 1458 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1505 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1506 ],
- "I1": [ 1410 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1504 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1507 ],
- "I1": [ 1461 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1508 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1509 ],
- "I1": [ 1413 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1507 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1510 ],
- "I1": [ 1464 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1511 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1512 ],
- "I1": [ 1416 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1510 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1513 ],
- "I1": [ 1467 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1514 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1515 ],
- "I1": [ 1419 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1513 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1516 ],
- "I1": [ 1470 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1517 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1518 ],
- "I1": [ 1422 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1516 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1519 ],
- "I1": [ 1473 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1520 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1521 ],
- "I1": [ 1425 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1519 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1522 ],
- "I1": [ 1476 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1523 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1524 ],
- "I1": [ 1428 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1522 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1525 ],
- "I1": [ 1479 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1526 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1527 ],
- "I1": [ 1431 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1525 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1528 ],
- "I1": [ 1482 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1529 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1530 ],
- "I1": [ 1434 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1528 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1531 ],
- "I1": [ 1485 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1532 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1533 ],
- "I1": [ 1437 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1531 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1534 ],
- "I1": [ 1488 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1535 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1536 ],
- "I1": [ 1440 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1534 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1537 ],
- "I1": [ 1491 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1538 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1539 ],
- "I1": [ 1443 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1537 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1540 ],
- "I1": [ 1494 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1541 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1542 ],
- "I1": [ 1446 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1540 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1543 ],
- "I1": [ 1497 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1544 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1545 ],
- "I1": [ 1449 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1543 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1546 ],
- "I1": [ 1500 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1547 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1548 ],
- "I1": [ 1452 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1546 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1549 ],
- "I1": [ 1503 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1550 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1551 ],
- "I1": [ 1455 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1549 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1552 ],
- "I1": [ 1506 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1553 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1554 ],
- "I1": [ 1458 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1552 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1555 ],
- "I1": [ 1509 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1556 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1557 ],
- "I1": [ 1461 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1555 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1558 ],
- "I1": [ 1512 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1559 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1560 ],
- "I1": [ 1464 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1558 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1561 ],
- "I1": [ 1515 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1562 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1563 ],
- "I1": [ 1467 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1561 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1564 ],
- "I1": [ 1518 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1565 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1566 ],
- "I1": [ 1470 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1564 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1567 ],
- "I1": [ 1521 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1568 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1569 ],
- "I1": [ 1473 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1567 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1570 ],
- "I1": [ 1524 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1571 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1572 ],
- "I1": [ 1476 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1570 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1573 ],
- "I1": [ 1527 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1574 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1575 ],
- "I1": [ 1479 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1573 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1576 ],
- "I1": [ 1530 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1577 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1578 ],
- "I1": [ 1482 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1576 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1579 ],
- "I1": [ 1533 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1580 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1581 ],
- "I1": [ 1485 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1579 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1582 ],
- "I1": [ 1536 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1583 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1584 ],
- "I1": [ 1488 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1582 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1585 ],
- "I1": [ 1539 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1586 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1587 ],
- "I1": [ 1491 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1585 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1588 ],
- "I1": [ 1542 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1589 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1590 ],
- "I1": [ 1494 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1588 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1591 ],
- "I1": [ 1545 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1592 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1593 ],
- "I1": [ 1497 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1591 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1594 ],
- "I1": [ 1548 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1595 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1596 ],
- "I1": [ 1500 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1594 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1597 ],
- "I1": [ 1551 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1598 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1599 ],
- "I1": [ 1503 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1597 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1600 ],
- "I1": [ 1554 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1601 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1602 ],
- "I1": [ 1506 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1600 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1603 ],
- "I1": [ 1557 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1604 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1605 ],
- "I1": [ 1509 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1603 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1606 ],
- "I1": [ 1560 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1607 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1608 ],
- "I1": [ 1512 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1606 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1609 ],
- "I1": [ 1563 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1610 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1611 ],
- "I1": [ 1515 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1609 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1612 ],
- "I1": [ 1566 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1613 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1614 ],
- "I1": [ 1518 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1612 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1615 ],
- "I1": [ 1569 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1616 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1617 ],
- "I1": [ 1521 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1615 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1618 ],
- "I1": [ 1572 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1619 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1620 ],
- "I1": [ 1524 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1618 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1621 ],
- "I1": [ 1575 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1622 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1623 ],
- "I1": [ 1527 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1621 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1624 ],
- "I1": [ 1578 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1625 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1626 ],
- "I1": [ 1530 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1624 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1627 ],
- "I1": [ 1581 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1628 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1629 ],
- "I1": [ 1533 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1627 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1630 ],
- "I1": [ 1584 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1631 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1632 ],
- "I1": [ 1536 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1630 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1633 ],
- "I1": [ 1587 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1634 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$20999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1635 ],
- "I1": [ 1539 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1633 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1636 ],
- "I1": [ 1590 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1637 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1638 ],
- "I1": [ 1542 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1636 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1639 ],
- "I1": [ 1593 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1640 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1641 ],
- "I1": [ 1545 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1639 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1642 ],
- "I1": [ 1596 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1643 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1644 ],
- "I1": [ 1548 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1642 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1645 ],
- "I1": [ 1599 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1646 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1647 ],
- "I1": [ 1551 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1645 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1648 ],
- "I1": [ 1602 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1649 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1650 ],
- "I1": [ 1554 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1648 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1651 ],
- "I1": [ 1605 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1652 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1653 ],
- "I1": [ 1557 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1651 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1654 ],
- "I1": [ 1608 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1655 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1656 ],
- "I1": [ 1560 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1654 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1657 ],
- "I1": [ 1611 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1658 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1659 ],
- "I1": [ 1563 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1657 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1660 ],
- "I1": [ 1614 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1661 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1662 ],
- "I1": [ 1566 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1660 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1663 ],
- "I1": [ 1617 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1664 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1665 ],
- "I1": [ 1569 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1663 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1666 ],
- "I1": [ 1620 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1667 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1668 ],
- "I1": [ 1572 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1666 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1669 ],
- "I1": [ 1623 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1670 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1671 ],
- "I1": [ 1575 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1669 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1672 ],
- "I1": [ 1626 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1673 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1674 ],
- "I1": [ 1578 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1672 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1675 ],
- "I1": [ 1629 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1676 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1677 ],
- "I1": [ 1581 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1675 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1678 ],
- "I1": [ 1632 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1679 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1680 ],
- "I1": [ 1584 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1678 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1681 ],
- "I1": [ 1635 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1682 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1683 ],
- "I1": [ 1587 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1681 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1684 ],
- "I1": [ 1638 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1685 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1686 ],
- "I1": [ 1590 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1684 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1687 ],
- "I1": [ 1641 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1688 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1689 ],
- "I1": [ 1593 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1687 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1690 ],
- "I1": [ 1644 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1691 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1692 ],
- "I1": [ 1596 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1690 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1693 ],
- "I1": [ 1647 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1694 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1695 ],
- "I1": [ 1599 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1693 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1696 ],
- "I1": [ 1650 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1697 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1698 ],
- "I1": [ 1602 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1696 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1699 ],
- "I1": [ 1653 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1700 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1701 ],
- "I1": [ 1605 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1699 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1702 ],
- "I1": [ 1656 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1703 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1704 ],
- "I1": [ 1608 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1702 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1705 ],
- "I1": [ 1659 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1706 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1707 ],
- "I1": [ 1611 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1705 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1708 ],
- "I1": [ 1662 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1709 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1710 ],
- "I1": [ 1614 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1708 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1711 ],
- "I1": [ 1665 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1712 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1713 ],
- "I1": [ 1617 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1711 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1714 ],
- "I1": [ 1668 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1715 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1716 ],
- "I1": [ 1620 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1714 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1717 ],
- "I1": [ 1671 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1718 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1719 ],
- "I1": [ 1623 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1717 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1720 ],
- "I1": [ 1674 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1721 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1722 ],
- "I1": [ 1626 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1720 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1723 ],
- "I1": [ 1677 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1724 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1725 ],
- "I1": [ 1629 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1723 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1726 ],
- "I1": [ 1680 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1727 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1728 ],
- "I1": [ 1632 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1726 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1729 ],
- "I1": [ 1683 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1730 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1731 ],
- "I1": [ 1635 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1729 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1732 ],
- "I1": [ 1686 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1733 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1734 ],
- "I1": [ 1638 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1732 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1735 ],
- "I1": [ 1689 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1736 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1737 ],
- "I1": [ 1641 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1735 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1738 ],
- "I1": [ 1692 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1739 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1740 ],
- "I1": [ 1644 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1738 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1741 ],
- "I1": [ 1695 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1742 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1743 ],
- "I1": [ 1647 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1741 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1744 ],
- "I1": [ 1698 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1745 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1746 ],
- "I1": [ 1650 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1744 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1747 ],
- "I1": [ 1701 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1748 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1749 ],
- "I1": [ 1653 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1747 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1750 ],
- "I1": [ 1704 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1751 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1752 ],
- "I1": [ 1656 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1750 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1753 ],
- "I1": [ 1707 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1754 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1755 ],
- "I1": [ 1659 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1753 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1756 ],
- "I1": [ 1710 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1757 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1758 ],
- "I1": [ 1662 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1756 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1759 ],
- "I1": [ 1713 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1760 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1761 ],
- "I1": [ 1665 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1759 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1762 ],
- "I1": [ 1716 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1763 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1764 ],
- "I1": [ 1668 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1762 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1765 ],
- "I1": [ 1719 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1766 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1767 ],
- "I1": [ 1671 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1765 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1768 ],
- "I1": [ 1722 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1769 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1770 ],
- "I1": [ 1674 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1768 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1771 ],
- "I1": [ 1725 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1772 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1773 ],
- "I1": [ 1677 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1771 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1774 ],
- "I1": [ 1728 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1775 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1776 ],
- "I1": [ 1680 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1774 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1777 ],
- "I1": [ 1731 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1778 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1779 ],
- "I1": [ 1683 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1777 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1780 ],
- "I1": [ 1734 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1781 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1782 ],
- "I1": [ 1686 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1780 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1783 ],
- "I1": [ 1737 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1784 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1785 ],
- "I1": [ 1689 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1783 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1786 ],
- "I1": [ 1740 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1787 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1788 ],
- "I1": [ 1692 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1786 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1789 ],
- "I1": [ 1743 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1790 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1791 ],
- "I1": [ 1695 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1789 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1792 ],
- "I1": [ 1746 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1793 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1794 ],
- "I1": [ 1698 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1792 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1795 ],
- "I1": [ 1749 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1796 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1797 ],
- "I1": [ 1701 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1795 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1798 ],
- "I1": [ 1752 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1799 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1800 ],
- "I1": [ 1704 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1798 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1801 ],
- "I1": [ 1755 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1802 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1803 ],
- "I1": [ 1707 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1801 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1804 ],
- "I1": [ 1758 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1805 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1806 ],
- "I1": [ 1710 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1804 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1807 ],
- "I1": [ 1761 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1808 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1809 ],
- "I1": [ 1713 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1807 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1810 ],
- "I1": [ 1764 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1811 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1812 ],
- "I1": [ 1716 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1810 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1813 ],
- "I1": [ 1767 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1814 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1815 ],
- "I1": [ 1719 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1813 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1816 ],
- "I1": [ 1770 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1817 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1818 ],
- "I1": [ 1722 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1816 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1819 ],
- "I1": [ 1773 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1820 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1821 ],
- "I1": [ 1725 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1819 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1822 ],
- "I1": [ 1776 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1823 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1824 ],
- "I1": [ 1728 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1822 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1825 ],
- "I1": [ 1779 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1826 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1827 ],
- "I1": [ 1731 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1825 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1828 ],
- "I1": [ 1782 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1829 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1830 ],
- "I1": [ 1734 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1828 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1831 ],
- "I1": [ 1785 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1832 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1833 ],
- "I1": [ 1737 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1831 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1834 ],
- "I1": [ 1788 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1835 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1836 ],
- "I1": [ 1740 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1834 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1837 ],
- "I1": [ 1791 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1838 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1839 ],
- "I1": [ 1743 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1837 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1840 ],
- "I1": [ 1794 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1841 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1842 ],
- "I1": [ 1746 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1840 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1843 ],
- "I1": [ 1797 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1844 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1845 ],
- "I1": [ 1749 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1843 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1846 ],
- "I1": [ 1800 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1847 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1848 ],
- "I1": [ 1752 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1846 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1849 ],
- "I1": [ 1803 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1850 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1851 ],
- "I1": [ 1755 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1849 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1852 ],
- "I1": [ 1806 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1853 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1854 ],
- "I1": [ 1758 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1852 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1855 ],
- "I1": [ 1809 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1856 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1857 ],
- "I1": [ 1761 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1855 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1858 ],
- "I1": [ 1812 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1859 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1860 ],
- "I1": [ 1764 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1858 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1861 ],
- "I1": [ 1815 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1862 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1863 ],
- "I1": [ 1767 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1861 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1864 ],
- "I1": [ 1818 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1865 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1866 ],
- "I1": [ 1770 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1864 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1867 ],
- "I1": [ 1821 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1868 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1869 ],
- "I1": [ 1773 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1867 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1870 ],
- "I1": [ 1824 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1871 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1872 ],
- "I1": [ 1776 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1870 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1873 ],
- "I1": [ 1827 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1874 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1875 ],
- "I1": [ 1779 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1873 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1876 ],
- "I1": [ 1830 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1877 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1878 ],
- "I1": [ 1782 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1876 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1879 ],
- "I1": [ 1833 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1880 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1881 ],
- "I1": [ 1785 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1879 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1882 ],
- "I1": [ 1836 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1883 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1884 ],
- "I1": [ 1788 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1882 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1885 ],
- "I1": [ 1839 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1886 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1887 ],
- "I1": [ 1791 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1885 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1888 ],
- "I1": [ 1842 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1889 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1890 ],
- "I1": [ 1794 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1888 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1891 ],
- "I1": [ 1845 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1892 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1893 ],
- "I1": [ 1797 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1891 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1894 ],
- "I1": [ 1848 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1895 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1896 ],
- "I1": [ 1800 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1894 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1897 ],
- "I1": [ 1851 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1898 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1899 ],
- "I1": [ 1803 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1897 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1900 ],
- "I1": [ 1854 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1901 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1902 ],
- "I1": [ 1806 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1900 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1903 ],
- "I1": [ 1857 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1904 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1905 ],
- "I1": [ 1809 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1903 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1906 ],
- "I1": [ 1860 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1907 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1908 ],
- "I1": [ 1812 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1906 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1909 ],
- "I1": [ 1863 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1910 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1911 ],
- "I1": [ 1815 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1909 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1912 ],
- "I1": [ 1866 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1913 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1914 ],
- "I1": [ 1818 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1912 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1915 ],
- "I1": [ 1869 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1916 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1917 ],
- "I1": [ 1821 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1915 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1918 ],
- "I1": [ 1872 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1919 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1920 ],
- "I1": [ 1824 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1918 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1921 ],
- "I1": [ 1875 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1922 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1923 ],
- "I1": [ 1827 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1921 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1924 ],
- "I1": [ 1878 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1925 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1926 ],
- "I1": [ 1830 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1924 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1927 ],
- "I1": [ 1881 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1928 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1929 ],
- "I1": [ 1833 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1927 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1930 ],
- "I1": [ 1884 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1931 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1932 ],
- "I1": [ 1836 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1930 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1933 ],
- "I1": [ 1887 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1934 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1935 ],
- "I1": [ 1839 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1933 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1936 ],
- "I1": [ 1890 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1937 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1938 ],
- "I1": [ 1842 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1936 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1939 ],
- "I1": [ 1893 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1940 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1941 ],
- "I1": [ 1845 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1939 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1942 ],
- "I1": [ 1896 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1943 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1944 ],
- "I1": [ 1848 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1942 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1945 ],
- "I1": [ 1899 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1946 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1947 ],
- "I1": [ 1851 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1945 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1948 ],
- "I1": [ 1902 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1949 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1950 ],
- "I1": [ 1854 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1948 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1951 ],
- "I1": [ 1905 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1952 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1953 ],
- "I1": [ 1857 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1951 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1954 ],
- "I1": [ 1908 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1955 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1956 ],
- "I1": [ 1860 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1954 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1957 ],
- "I1": [ 1911 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1958 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1959 ],
- "I1": [ 1863 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1957 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1960 ],
- "I1": [ 1914 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1961 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1962 ],
- "I1": [ 1866 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1960 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1963 ],
- "I1": [ 1917 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1964 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1965 ],
- "I1": [ 1869 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1963 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1966 ],
- "I1": [ 1920 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1967 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1968 ],
- "I1": [ 1872 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1966 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1969 ],
- "I1": [ 1923 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1970 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1971 ],
- "I1": [ 1875 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1969 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1972 ],
- "I1": [ 1926 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1973 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1974 ],
- "I1": [ 1878 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1972 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1975 ],
- "I1": [ 1929 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1976 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1977 ],
- "I1": [ 1881 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1975 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1978 ],
- "I1": [ 1932 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1979 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1980 ],
- "I1": [ 1884 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1978 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1981 ],
- "I1": [ 1935 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1982 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1983 ],
- "I1": [ 1887 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1981 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1984 ],
- "I1": [ 1938 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1985 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1986 ],
- "I1": [ 1890 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1984 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1987 ],
- "I1": [ 1941 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1988 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1989 ],
- "I1": [ 1893 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1987 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1990 ],
- "I1": [ 1944 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1991 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1992 ],
- "I1": [ 1896 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1990 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1993 ],
- "I1": [ 1947 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1994 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1995 ],
- "I1": [ 1899 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1993 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1996 ],
- "I1": [ 1950 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 1997 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1998 ],
- "I1": [ 1902 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1996 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21242": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1999 ],
- "I1": [ 1953 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2000 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21243": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2001 ],
- "I1": [ 1905 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 1999 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2002 ],
- "I1": [ 1956 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2003 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2004 ],
- "I1": [ 1908 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2002 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2005 ],
- "I1": [ 1959 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2006 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2007 ],
- "I1": [ 1911 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2005 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2008 ],
- "I1": [ 1962 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2009 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2010 ],
- "I1": [ 1914 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2008 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21250": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2011 ],
- "I1": [ 1965 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2012 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21251": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2013 ],
- "I1": [ 1917 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2011 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21252": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2014 ],
- "I1": [ 1968 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2015 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21253": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2016 ],
- "I1": [ 1920 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2014 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21254": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2017 ],
- "I1": [ 1971 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2018 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21255": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2019 ],
- "I1": [ 1923 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2017 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21256": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2020 ],
- "I1": [ 1974 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2021 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21257": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2022 ],
- "I1": [ 1926 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2020 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21258": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2023 ],
- "I1": [ 1977 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2024 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21259": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2025 ],
- "I1": [ 1929 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2023 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21260": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2026 ],
- "I1": [ 1980 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2027 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21261": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2028 ],
- "I1": [ 1932 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2026 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21262": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2029 ],
- "I1": [ 1983 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2030 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21263": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2031 ],
- "I1": [ 1935 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2029 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21264": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2032 ],
- "I1": [ 1986 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2033 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21265": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2034 ],
- "I1": [ 1938 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2032 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21266": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2035 ],
- "I1": [ 1989 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2036 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21267": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2037 ],
- "I1": [ 1941 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2035 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21268": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2038 ],
- "I1": [ 1992 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2039 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21269": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2040 ],
- "I1": [ 1944 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2038 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21270": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2041 ],
- "I1": [ 1995 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2042 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21271": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2043 ],
- "I1": [ 1947 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2041 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21272": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2044 ],
- "I1": [ 1998 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2045 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21273": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2046 ],
- "I1": [ 1950 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2044 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21274": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2047 ],
- "I1": [ 2001 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2048 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21275": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2049 ],
- "I1": [ 1953 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2047 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21276": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2050 ],
- "I1": [ 2004 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2051 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21277": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2052 ],
- "I1": [ 1956 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2050 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21278": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2053 ],
- "I1": [ 2007 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2054 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21279": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2055 ],
- "I1": [ 1959 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2053 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21280": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2056 ],
- "I1": [ 2010 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2057 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21281": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2058 ],
- "I1": [ 1962 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2056 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21282": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2059 ],
- "I1": [ 2013 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2060 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21283": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2061 ],
- "I1": [ 1965 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2059 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21284": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2062 ],
- "I1": [ 2016 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2063 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21285": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2064 ],
- "I1": [ 1968 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2062 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21286": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2065 ],
- "I1": [ 2019 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2066 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21287": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2067 ],
- "I1": [ 1971 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2065 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21288": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2068 ],
- "I1": [ 2022 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2069 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21289": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2070 ],
- "I1": [ 1974 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2068 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21290": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2071 ],
- "I1": [ 2025 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2072 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21291": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2073 ],
- "I1": [ 1977 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2071 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21292": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2074 ],
- "I1": [ 2028 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2075 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21293": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2076 ],
- "I1": [ 1980 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2074 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21294": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2077 ],
- "I1": [ 2031 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2078 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21295": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2079 ],
- "I1": [ 1983 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2077 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21296": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2080 ],
- "I1": [ 2034 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2081 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21297": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2082 ],
- "I1": [ 1986 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2080 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21298": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2083 ],
- "I1": [ 2037 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2084 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21299": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2085 ],
- "I1": [ 1989 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2083 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21300": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2086 ],
- "I1": [ 2040 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2087 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21301": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2088 ],
- "I1": [ 1992 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2086 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21302": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2089 ],
- "I1": [ 2043 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2090 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21303": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2091 ],
- "I1": [ 1995 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2089 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21304": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2092 ],
- "I1": [ 2046 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2093 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21305": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2094 ],
- "I1": [ 1998 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2092 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21306": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2095 ],
- "I1": [ 2049 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2096 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21307": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2001 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2095 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21308": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2098 ],
- "I1": [ 2052 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2099 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21309": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2100 ],
- "I1": [ 2004 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2098 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21310": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2101 ],
- "I1": [ 2055 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2102 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21311": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2103 ],
- "I1": [ 2007 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2101 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21312": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2104 ],
- "I1": [ 2058 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2105 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21313": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2106 ],
- "I1": [ 2010 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2104 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21314": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2107 ],
- "I1": [ 2061 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2108 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21315": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2109 ],
- "I1": [ 2013 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2107 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21316": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2110 ],
- "I1": [ 2064 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2111 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21317": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2112 ],
- "I1": [ 2016 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2110 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21318": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2113 ],
- "I1": [ 2067 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2114 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21319": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2115 ],
- "I1": [ 2019 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2113 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21320": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2116 ],
- "I1": [ 2070 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2117 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21321": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2118 ],
- "I1": [ 2022 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2116 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21322": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2119 ],
- "I1": [ 2073 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2120 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21323": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2121 ],
- "I1": [ 2025 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2119 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21324": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2122 ],
- "I1": [ 2076 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2123 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21325": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2124 ],
- "I1": [ 2028 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2122 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21326": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2125 ],
- "I1": [ 2079 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2126 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21327": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2127 ],
- "I1": [ 2031 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2125 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21328": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2128 ],
- "I1": [ 2082 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2129 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21329": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2130 ],
- "I1": [ 2034 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2128 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21330": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2131 ],
- "I1": [ 2085 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2132 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21331": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2133 ],
- "I1": [ 2037 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2131 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21332": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2134 ],
- "I1": [ 2088 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2135 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21333": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2136 ],
- "I1": [ 2040 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2134 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21334": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2137 ],
- "I1": [ 2091 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2138 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21335": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2139 ],
- "I1": [ 2043 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2137 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21336": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2140 ],
- "I1": [ 2094 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2141 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21337": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2142 ],
- "I1": [ 2046 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2140 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21338": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2143 ],
- "I1": [ 2097 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2144 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21339": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2145 ],
- "I1": [ 2049 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2143 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21340": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 197
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2146 ],
- "I1": [ 2100 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2147 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21341": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2148 ],
- "I1": [ 2052 ],
- "I2": [ 1256 ],
- "I3": [ "0" ],
- "O": [ 2146 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21342": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2055 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2103 ],
- "O": [ 2150 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21343": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45056
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1253 ],
- "I1": [ 62 ],
- "I2": [ 424 ],
- "I3": [ 1256 ],
- "O": [ 2149 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21344": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2058 ],
- "I1": [ 2149 ],
- "I2": [ 2106 ],
- "I3": [ 1251 ],
- "O": [ 2151 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21345": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2061 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2109 ],
- "O": [ 2152 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21346": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2064 ],
- "I1": [ 2149 ],
- "I2": [ 2112 ],
- "I3": [ 1251 ],
- "O": [ 2153 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21347": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2067 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2115 ],
- "O": [ 2154 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21348": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2070 ],
- "I1": [ 2149 ],
- "I2": [ 2118 ],
- "I3": [ 1251 ],
- "O": [ 2155 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21349": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2073 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2121 ],
- "O": [ 2156 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21350": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2076 ],
- "I1": [ 2149 ],
- "I2": [ 2124 ],
- "I3": [ 1251 ],
- "O": [ 2157 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21351": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2079 ],
- "I1": [ 2149 ],
- "I2": [ 2127 ],
- "I3": [ 1251 ],
- "O": [ 2158 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21352": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2082 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2130 ],
- "O": [ 2159 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21353": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2085 ],
- "I1": [ 2149 ],
- "I2": [ 2133 ],
- "I3": [ 1251 ],
- "O": [ 2160 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21354": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2088 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2136 ],
- "O": [ 2161 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21355": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2091 ],
- "I1": [ 2149 ],
- "I2": [ 2139 ],
- "I3": [ 1251 ],
- "O": [ 2162 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21356": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2094 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2142 ],
- "O": [ 2163 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21357": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2097 ],
- "I1": [ 2149 ],
- "I2": [ 2145 ],
- "I3": [ 1251 ],
- "O": [ 2164 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21358": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63624
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2100 ],
- "I1": [ 2149 ],
- "I2": [ 1251 ],
- "I3": [ 2148 ],
- "O": [ 2165 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21359": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2166 ],
- "I1": [ 1198 ],
- "I2": [ 2167 ],
- "I3": [ "0" ],
- "O": [ 2168 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21360": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1250 ],
- "I1": [ 1255 ],
- "I2": [ 2169 ],
- "I3": [ 1251 ],
- "O": [ 2167 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21361": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2170 ],
- "I1": [ 424 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2169 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21362": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 1203 ],
- "I2": [ 54 ],
- "I3": [ 62 ],
- "O": [ 2170 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21363": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 1203 ],
- "I2": [ 54 ],
- "I3": [ 58 ],
- "O": [ 2166 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21364": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2171 ],
- "I1": [ 2172 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2173 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21365": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1259 ],
- "I1": [ 1262 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2172 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21366": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2174 ],
- "I1": [ 1204 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2171 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21367": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2175 ],
- "I1": [ 2176 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2177 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21368": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1264 ],
- "I1": [ 1267 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2176 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21369": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21955
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ 2178 ],
- "I2": [ 2179 ],
- "I3": [ 62 ],
- "O": [ 2175 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21370": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2180 ],
- "I1": [ 2181 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2182 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21371": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1269 ],
- "I1": [ 1272 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2181 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21372": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2183 ],
- "I1": [ 1210 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2180 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21373": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2184 ],
- "I1": [ 2185 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2186 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21374": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1274 ],
- "I1": [ 1277 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2185 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21375": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2187 ],
- "I1": [ 1213 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2184 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21376": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2188 ],
- "I1": [ 2189 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2190 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21377": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1279 ],
- "I1": [ 1282 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2189 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21378": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2191 ],
- "I1": [ 1216 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2188 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21379": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2192 ],
- "I1": [ 2193 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2194 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21380": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1284 ],
- "I1": [ 1287 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2193 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21381": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2195 ],
- "I1": [ 1219 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2192 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21382": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2196 ],
- "I1": [ 2197 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2198 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21383": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1289 ],
- "I1": [ 1292 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2197 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21384": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2199 ],
- "I1": [ 1222 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2196 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21385": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2200 ],
- "I1": [ 2201 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2202 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21386": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1294 ],
- "I1": [ 1297 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2201 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21387": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2203 ],
- "I1": [ 1225 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2200 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21388": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2204 ],
- "I1": [ 2205 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2206 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21389": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1299 ],
- "I1": [ 1302 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2205 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21390": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2207 ],
- "I1": [ 1228 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2204 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21391": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2208 ],
- "I1": [ 2209 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2210 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21392": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1304 ],
- "I1": [ 1307 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2209 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21393": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2211 ],
- "I1": [ 1231 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2208 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21394": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2212 ],
- "I1": [ 2213 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2214 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21395": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1309 ],
- "I1": [ 1312 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2213 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21396": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2215 ],
- "I1": [ 1234 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2212 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21397": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2216 ],
- "I1": [ 2217 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2218 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21398": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1314 ],
- "I1": [ 1317 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2217 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21399": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2219 ],
- "I1": [ 1237 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2216 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21400": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2220 ],
- "I1": [ 2221 ],
- "I2": [ 2169 ],
- "I3": [ "0" ],
- "O": [ 2222 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21401": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1319 ],
- "I1": [ 1322 ],
- "I2": [ 1251 ],
- "I3": [ "0" ],
- "O": [ 2221 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21402": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2223 ],
- "I1": [ 1240 ],
- "I2": [ 62 ],
- "I3": [ "0" ],
- "O": [ 2220 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21403": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2166 ],
- "I1": [ 1243 ],
- "I2": [ 2224 ],
- "I3": [ "0" ],
- "O": [ 2225 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21404": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1324 ],
- "I1": [ 1327 ],
- "I2": [ 2169 ],
- "I3": [ 1251 ],
- "O": [ 2224 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21405": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2166 ],
- "I1": [ 1246 ],
- "I2": [ 2226 ],
- "I3": [ "0" ],
- "O": [ 2227 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21406": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1329 ],
- "I1": [ 1332 ],
- "I2": [ 2169 ],
- "I3": [ 1251 ],
- "O": [ 2226 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21407": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 2228 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2229 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21408": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 2230 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2231 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21409": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 2232 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2233 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21410": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 2234 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2235 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21411": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 2236 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2237 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21412": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 423 ],
- "I2": [ 2239 ],
- "I3": [ "0" ],
- "O": [ 2240 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21413": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1262 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2174 ],
- "O": [ 2239 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21414": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2243 ],
- "I1": [ 2244 ],
- "I2": [ 425 ],
- "I3": [ 2245 ],
- "O": [ 2242 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21415": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2246 ],
- "I1": [ 2247 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2244 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21416": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1210 ],
- "I1": [ 1207 ],
- "I2": [ 1204 ],
- "I3": [ 1198 ],
- "O": [ 2246 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21417": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1222 ],
- "I1": [ 1219 ],
- "I2": [ 1216 ],
- "I3": [ 1213 ],
- "O": [ 2247 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21418": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2248 ],
- "I1": [ 2249 ],
- "I2": [ 2250 ],
- "I3": [ "0" ],
- "O": [ 2243 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21419": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ 61 ],
- "I2": [ 63 ],
- "I3": [ 62 ],
- "O": [ 2248 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21420": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ 1231 ],
- "I2": [ 1228 ],
- "I3": [ 1225 ],
- "O": [ 2249 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21421": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 1243 ],
- "I2": [ 1240 ],
- "I3": [ 1237 ],
- "O": [ 2250 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21422": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2251 ],
- "I1": [ 58 ],
- "I2": [ 61 ],
- "I3": [ 59 ],
- "O": [ 2245 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21423": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2251 ],
- "I2": [ 61 ],
- "I3": [ 59 ],
- "O": [ 2241 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21424": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 63488
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2243 ],
- "I1": [ 2244 ],
- "I2": [ 425 ],
- "I3": [ 59 ],
- "O": [ 2238 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21425": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32085
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2252 ],
- "I1": [ 2178 ],
- "I2": [ 2179 ],
- "I3": [ 2242 ],
- "O": [ 2253 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21426": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2241 ],
- "I1": [ 1267 ],
- "I2": [ 2238 ],
- "I3": [ 428 ],
- "O": [ 2252 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21427": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 1253 ],
- "I2": [ 2254 ],
- "I3": [ "0" ],
- "O": [ 2255 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21428": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1272 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2183 ],
- "O": [ 2254 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21429": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 1257 ],
- "I2": [ 2256 ],
- "I3": [ "0" ],
- "O": [ 2257 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21430": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1277 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2187 ],
- "O": [ 2256 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21431": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 1203 ],
- "I2": [ 2258 ],
- "I3": [ "0" ],
- "O": [ 2259 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21432": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1282 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2191 ],
- "O": [ 2258 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21433": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 54 ],
- "I2": [ 2260 ],
- "I3": [ "0" ],
- "O": [ 2261 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21434": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1287 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2195 ],
- "O": [ 2260 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21435": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 55 ],
- "I2": [ 2262 ],
- "I3": [ "0" ],
- "O": [ 2263 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21436": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1292 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2199 ],
- "O": [ 2262 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21437": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2251 ],
- "I2": [ 2264 ],
- "I3": [ "0" ],
- "O": [ 2265 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21438": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1297 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2203 ],
- "O": [ 2264 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21439": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2266 ],
- "I2": [ 2267 ],
- "I3": [ "0" ],
- "O": [ 2268 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21440": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1302 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2207 ],
- "O": [ 2267 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21441": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2269 ],
- "I2": [ 2270 ],
- "I3": [ "0" ],
- "O": [ 2271 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21442": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1307 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2211 ],
- "O": [ 2270 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21443": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2272 ],
- "I2": [ 2273 ],
- "I3": [ "0" ],
- "O": [ 2274 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21444": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1312 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2215 ],
- "O": [ 2273 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21445": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2275 ],
- "I2": [ 2276 ],
- "I3": [ "0" ],
- "O": [ 2277 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21446": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1317 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2219 ],
- "O": [ 2276 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21447": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2238 ],
- "I1": [ 2278 ],
- "I2": [ 2279 ],
- "I3": [ "0" ],
- "O": [ 2280 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21448": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1322 ],
- "I1": [ 2241 ],
- "I2": [ 2242 ],
- "I3": [ 2223 ],
- "O": [ 2279 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21449": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2281 ],
- "I1": [ 58 ],
- "I2": [ 2282 ],
- "I3": [ 2248 ],
- "O": [ 2283 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21450": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2269 ],
- "I1": [ 2266 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2282 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21451": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2275 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2281 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21452": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2284 ],
- "I1": [ 58 ],
- "I2": [ 2281 ],
- "I3": [ 425 ],
- "O": [ 2285 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21453": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2266 ],
- "I1": [ 2269 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2284 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21454": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2281 ],
- "I2": [ 2286 ],
- "I3": [ "0" ],
- "O": [ 2287 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21455": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2266 ],
- "I1": [ 2269 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2286 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21456": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 423 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2288 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21457": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2289 ],
- "I1": [ 1255 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2290 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21458": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2286 ],
- "I2": [ 2291 ],
- "I3": [ "0" ],
- "O": [ 2289 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21459": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2275 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2291 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21460": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1204 ],
- "I1": [ 2292 ],
- "I2": [ 2293 ],
- "I3": [ 2294 ],
- "O": [ 2295 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21461": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2282 ],
- "I2": [ 2296 ],
- "I3": [ "0" ],
- "O": [ 2293 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21462": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 2275 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2296 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21463": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2282 ],
- "I2": [ 2291 ],
- "I3": [ "0" ],
- "O": [ 2292 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21464": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2284 ],
- "I2": [ 2296 ],
- "I3": [ "0" ],
- "O": [ 2297 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21465": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2298 ],
- "I2": [ 2299 ],
- "I3": [ "0" ],
- "O": [ 2300 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21466": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2275 ],
- "I1": [ 2272 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2298 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21467": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2266 ],
- "I1": [ 2269 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2299 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21468": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2301 ],
- "I1": [ 2302 ],
- "I2": [ 2303 ],
- "I3": [ 2304 ],
- "O": [ 2305 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21469": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2296 ],
- "I2": [ 2299 ],
- "I3": [ "0" ],
- "O": [ 2303 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21470": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2281 ],
- "I2": [ 2299 ],
- "I3": [ "0" ],
- "O": [ 2302 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21471": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1198 ],
- "I3": [ 433 ],
- "O": [ 2308 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21472": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2284 ],
- "I2": [ 2298 ],
- "I3": [ "0" ],
- "O": [ 2307 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21473": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2282 ],
- "I2": [ 2298 ],
- "I3": [ "0" ],
- "O": [ 2306 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21474": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2309 ],
- "I1": [ 2310 ],
- "I2": [ 2311 ],
- "I3": [ 2312 ],
- "O": [ 2313 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21475": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2314 ],
- "I1": [ 2284 ],
- "I2": [ 2291 ],
- "I3": [ 58 ],
- "O": [ 2311 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21476": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 43
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 508 ],
- "I2": [ 2315 ],
- "I3": [ "0" ],
- "O": [ 2314 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21477": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2286 ],
- "I2": [ 2296 ],
- "I3": [ 2315 ],
- "O": [ 2312 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21478": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2316 ],
- "I1": [ 2317 ],
- "I2": [ 2318 ],
- "I3": [ "0" ],
- "O": [ 2310 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21479": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2319 ],
- "I1": [ 2320 ],
- "I2": [ 2321 ],
- "I3": [ 2322 ],
- "O": [ 2316 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21480": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2323 ],
- "I1": [ 2324 ],
- "I2": [ 2325 ],
- "I3": [ 2326 ],
- "O": [ 2317 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21481": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2315 ],
- "I1": [ 2327 ],
- "I2": [ 2266 ],
- "I3": [ 2269 ],
- "O": [ 2318 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21482": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2298 ],
- "I2": [ 2328 ],
- "I3": [ 2329 ],
- "O": [ 2309 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21483": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2330 ],
- "I1": [ 2331 ],
- "I2": [ 2332 ],
- "I3": [ "0" ],
- "O": [ 2328 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21484": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2333 ],
- "I1": [ 2334 ],
- "I2": [ 2335 ],
- "I3": [ 2336 ],
- "O": [ 2329 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21485": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2337 ],
- "I1": [ 2338 ],
- "I2": [ 2313 ],
- "I3": [ 2339 ],
- "O": [ 2340 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21486": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1262 ],
- "I1": [ 2289 ],
- "I2": [ 2341 ],
- "I3": [ 2342 ],
- "O": [ 2337 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21487": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2287 ],
- "I1": [ 1204 ],
- "I2": [ 438 ],
- "I3": [ "0" ],
- "O": [ 2341 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21488": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 2343 ],
- "I2": [ 2297 ],
- "I3": [ 2333 ],
- "O": [ 2342 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21489": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ 2291 ],
- "I2": [ 2299 ],
- "I3": [ "0" ],
- "O": [ 2343 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21490": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2344 ],
- "I1": [ 2345 ],
- "I2": [ 2346 ],
- "I3": [ 2347 ],
- "O": [ 2338 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21491": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2348 ],
- "I2": [ 2303 ],
- "I3": [ 2349 ],
- "O": [ 2345 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21492": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1204 ],
- "I3": [ 438 ],
- "O": [ 2344 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21493": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 428 ],
- "I2": [ 2293 ],
- "I3": [ 2350 ],
- "O": [ 2346 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21494": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1204 ],
- "I1": [ 2300 ],
- "I2": [ 2292 ],
- "I3": [ 1207 ],
- "O": [ 2347 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21495": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2285 ],
- "I1": [ 1204 ],
- "I2": [ 2283 ],
- "I3": [ 438 ],
- "O": [ 2339 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21496": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 1253 ],
- "I2": [ 2297 ],
- "I3": [ 2327 ],
- "O": [ 2351 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21497": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1204 ],
- "I1": [ 2343 ],
- "I2": [ 2289 ],
- "I3": [ 1267 ],
- "O": [ 2352 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21498": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2353 ],
- "I1": [ 2302 ],
- "I2": [ 2303 ],
- "I3": [ 2354 ],
- "O": [ 2355 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21499": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1207 ],
- "I3": [ 443 ],
- "O": [ 2356 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21500": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 1257 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2357 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21501": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2303 ],
- "I1": [ 2358 ],
- "I2": [ 2297 ],
- "I3": [ 2323 ],
- "O": [ 2359 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21502": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ 2343 ],
- "I2": [ 2302 ],
- "I3": [ 2360 ],
- "O": [ 2361 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21503": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1213 ],
- "I1": [ 2292 ],
- "I2": [ 2289 ],
- "I3": [ 1272 ],
- "O": [ 2362 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21504": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1210 ],
- "I3": [ 448 ],
- "O": [ 2363 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21505": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2364 ],
- "I1": [ 2365 ],
- "I2": [ 2366 ],
- "I3": [ "0" ],
- "O": [ 2367 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21506": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1213 ],
- "I3": [ 453 ],
- "O": [ 2364 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21507": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2324 ],
- "I1": [ 2297 ],
- "I2": [ 2293 ],
- "I3": [ 2368 ],
- "O": [ 2365 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21508": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2303 ],
- "I1": [ 2369 ],
- "I2": [ 1213 ],
- "I3": [ 2300 ],
- "O": [ 2366 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21509": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ 2292 ],
- "I2": [ 2289 ],
- "I3": [ 1277 ],
- "O": [ 2370 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21510": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1210 ],
- "I1": [ 2343 ],
- "I2": [ 2283 ],
- "I3": [ 453 ],
- "O": [ 2371 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21511": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2372 ],
- "I2": [ 2293 ],
- "I3": [ 2373 ],
- "O": [ 2374 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21512": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2325 ],
- "I1": [ 2297 ],
- "I2": [ 2375 ],
- "I3": [ 2376 ],
- "O": [ 2377 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21513": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1216 ],
- "I3": [ 458 ],
- "O": [ 2375 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21514": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1213 ],
- "I1": [ 2343 ],
- "I2": [ 2289 ],
- "I3": [ 1282 ],
- "O": [ 2376 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21515": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2378 ],
- "I1": [ 2379 ],
- "I2": [ 2380 ],
- "I3": [ "0" ],
- "O": [ 2381 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21516": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1287 ],
- "I1": [ 2289 ],
- "I2": [ 2382 ],
- "I3": [ 2383 ],
- "O": [ 2378 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21517": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 55 ],
- "I2": [ 2343 ],
- "I3": [ 1216 ],
- "O": [ 2382 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21518": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2287 ],
- "I1": [ 463 ],
- "I2": [ 2285 ],
- "I3": [ 1219 ],
- "O": [ 2383 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21519": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2384 ],
- "I1": [ 2302 ],
- "I2": [ 2385 ],
- "I3": [ 2386 ],
- "O": [ 2379 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21520": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2322 ],
- "I1": [ 2297 ],
- "I2": [ 2293 ],
- "I3": [ 2387 ],
- "O": [ 2385 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21521": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ 2300 ],
- "I2": [ 2292 ],
- "I3": [ 1222 ],
- "O": [ 2386 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21522": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 2283 ],
- "I2": [ 2388 ],
- "I3": [ 2313 ],
- "O": [ 2380 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21523": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1219 ],
- "I3": [ 463 ],
- "O": [ 2388 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21524": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2389 ],
- "I1": [ 2390 ],
- "I2": [ 2391 ],
- "I3": [ 2313 ],
- "O": [ 2392 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21525": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 468 ],
- "I1": [ 2283 ],
- "I2": [ 2393 ],
- "I3": [ 2394 ],
- "O": [ 2389 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21526": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2395 ],
- "I2": [ 2285 ],
- "I3": [ 1222 ],
- "O": [ 2394 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21527": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 2251 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2393 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21528": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1225 ],
- "I1": [ 2292 ],
- "I2": [ 2396 ],
- "I3": [ 2397 ],
- "O": [ 2390 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21529": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1222 ],
- "I3": [ 468 ],
- "O": [ 2396 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21530": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 1219 ],
- "I2": [ 1222 ],
- "I3": [ 2300 ],
- "O": [ 2397 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21531": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2398 ],
- "I1": [ 2302 ],
- "I2": [ 2399 ],
- "I3": [ 2400 ],
- "O": [ 2391 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21532": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2287 ],
- "I1": [ 1222 ],
- "I2": [ 468 ],
- "I3": [ "0" ],
- "O": [ 2399 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21533": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2330 ],
- "I2": [ 2289 ],
- "I3": [ 1292 ],
- "O": [ 2400 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21534": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2289 ],
- "I1": [ 1297 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2401 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21535": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2331 ],
- "I1": [ 2297 ],
- "I2": [ 2293 ],
- "I3": [ 2402 ],
- "O": [ 2403 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21536": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 1222 ],
- "I2": [ 2292 ],
- "I3": [ 1228 ],
- "O": [ 2404 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21537": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1225 ],
- "I3": [ 473 ],
- "O": [ 2405 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21538": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2406 ],
- "I1": [ 2407 ],
- "I2": [ 2408 ],
- "I3": [ 2409 ],
- "O": [ 2410 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21539": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2292 ],
- "I1": [ 1231 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2406 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21540": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1228 ],
- "I3": [ 478 ],
- "O": [ 2407 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21541": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 2269 ],
- "I2": [ 2289 ],
- "I3": [ 1302 ],
- "O": [ 2408 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21542": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2411 ],
- "I2": [ 2297 ],
- "I3": [ 2332 ],
- "O": [ 2409 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21543": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2412 ],
- "I1": [ 2413 ],
- "I2": [ 2414 ],
- "I3": [ "0" ],
- "O": [ 2415 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21544": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2272 ],
- "I1": [ 427 ],
- "I2": [ 2416 ],
- "I3": [ 2417 ],
- "O": [ 2412 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21545": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1231 ],
- "I3": [ 483 ],
- "O": [ 2416 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21546": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2418 ],
- "I2": [ 2289 ],
- "I3": [ 1307 ],
- "O": [ 2417 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21547": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2419 ],
- "I1": [ 2293 ],
- "I2": [ 2420 ],
- "I3": [ 2421 ],
- "O": [ 2413 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21548": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2336 ],
- "I2": [ 2292 ],
- "I3": [ 1234 ],
- "O": [ 2420 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21549": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30479
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2287 ],
- "I1": [ 483 ],
- "I2": [ 2300 ],
- "I3": [ 1231 ],
- "O": [ 2421 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21550": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 483 ],
- "I1": [ 2283 ],
- "I2": [ 2313 ],
- "I3": [ 2422 ],
- "O": [ 2414 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21551": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 1228 ],
- "I2": [ 2285 ],
- "I3": [ 1231 ],
- "O": [ 2422 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21552": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 2275 ],
- "I2": [ 2293 ],
- "I3": [ 2423 ],
- "O": [ 2424 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21553": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2425 ],
- "I1": [ 2426 ],
- "I2": [ 2427 ],
- "I3": [ "0" ],
- "O": [ 2428 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21554": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1234 ],
- "I3": [ 488 ],
- "O": [ 2425 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21555": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1231 ],
- "I1": [ 2343 ],
- "I2": [ 2302 ],
- "I3": [ 2429 ],
- "O": [ 2426 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21556": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 2292 ],
- "I2": [ 2289 ],
- "I3": [ 1312 ],
- "O": [ 2427 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21557": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2430 ],
- "I2": [ 2289 ],
- "I3": [ 1317 ],
- "O": [ 2431 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21558": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2432 ],
- "I1": [ 2302 ],
- "I2": [ 2433 ],
- "I3": [ 2434 ],
- "O": [ 2435 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21559": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1237 ],
- "I3": [ 493 ],
- "O": [ 2433 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21560": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2343 ],
- "I1": [ 1234 ],
- "I2": [ 1237 ],
- "I3": [ 2300 ],
- "O": [ 2434 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21561": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 2278 ],
- "I2": [ 2292 ],
- "I3": [ 1240 ],
- "O": [ 2436 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21562": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1243 ],
- "I1": [ 2292 ],
- "I2": [ 2437 ],
- "I3": [ 2438 ],
- "O": [ 2439 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21563": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1240 ],
- "I3": [ 498 ],
- "O": [ 2437 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21564": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2321 ],
- "I1": [ 2297 ],
- "I2": [ 2293 ],
- "I3": [ 2440 ],
- "O": [ 2438 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21565": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 62 ],
- "I2": [ 2302 ],
- "I3": [ 2441 ],
- "O": [ 2442 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21566": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 63 ],
- "I2": [ 2289 ],
- "I3": [ 1327 ],
- "O": [ 2443 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21567": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 92
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 2313 ],
- "I2": [ 2292 ],
- "I3": [ "0" ],
- "O": [ 2444 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21568": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1243 ],
- "I3": [ 503 ],
- "O": [ 2445 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21569": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2446 ],
- "I2": [ 2297 ],
- "I3": [ 2334 ],
- "O": [ 2447 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21570": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2285 ],
- "I1": [ 1243 ],
- "I2": [ 2283 ],
- "I3": [ 503 ],
- "O": [ 2448 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21571": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52960
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2306 ],
- "I1": [ 2307 ],
- "I2": [ 1246 ],
- "I3": [ 508 ],
- "O": [ 2449 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21572": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1243 ],
- "I1": [ 2343 ],
- "I2": [ 2297 ],
- "I3": [ 2335 ],
- "O": [ 2450 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21573": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 60 ],
- "I2": [ 2289 ],
- "I3": [ 1332 ],
- "O": [ 2451 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21574": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2452 ],
- "I1": [ 2453 ],
- "I2": [ 2454 ],
- "I3": [ 96 ],
- "O": [ 2455 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21575": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 154 ],
- "I2": [ 2457 ],
- "I3": [ "0" ],
- "O": [ 2452 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21576": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2458 ],
- "I1": [ 65 ],
- "I2": [ 2459 ],
- "I3": [ "0" ],
- "O": [ 2457 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21577": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 195 ],
- "I1": [ 198 ],
- "I2": [ 80 ],
- "I3": [ 88 ],
- "O": [ 2459 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21578": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 368 ],
- "I2": [ 2461 ],
- "I3": [ 2462 ],
- "O": [ 2453 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21579": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 61184
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ 146 ],
- "I2": [ 232 ],
- "I3": [ 2463 ],
- "O": [ 2462 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21580": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 336 ],
- "I1": [ 2464 ],
- "I2": [ 90 ],
- "I3": [ 94 ],
- "O": [ 2463 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21581": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 280 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2461 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21582": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2465 ],
- "I1": [ 153 ],
- "I2": [ 2466 ],
- "I3": [ 2467 ],
- "O": [ 2454 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21583": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 76 ],
- "I2": [ 2468 ],
- "I3": [ "0" ],
- "O": [ 2467 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21584": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2469 ],
- "I1": [ 142 ],
- "I2": [ 97 ],
- "I3": [ 2470 ],
- "O": [ 2468 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21585": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2472 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2466 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21586": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2473 ],
- "I1": [ 84 ],
- "I2": [ 2474 ],
- "I3": [ 99 ],
- "O": [ 2475 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21587": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 174 ],
- "I2": [ 2476 ],
- "I3": [ 2477 ],
- "O": [ 2474 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21588": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2478 ],
- "I1": [ 153 ],
- "I2": [ 2479 ],
- "I3": [ 2480 ],
- "O": [ 2477 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21589": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2481 ],
- "I1": [ 97 ],
- "I2": [ 2482 ],
- "I3": [ 2483 ],
- "O": [ 2479 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21590": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 2484 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2482 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21591": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ 2485 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2483 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21592": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2486 ],
- "I1": [ 90 ],
- "I2": [ 65 ],
- "I3": [ 2487 ],
- "O": [ 2480 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21593": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 370 ],
- "I2": [ 2488 ],
- "I3": [ 2489 ],
- "O": [ 2476 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21594": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 338 ],
- "I1": [ 2464 ],
- "I2": [ 76 ],
- "I3": [ 87 ],
- "O": [ 2488 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21595": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2490 ],
- "I2": [ 195 ],
- "I3": [ 2491 ],
- "O": [ 2489 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21596": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2486 ],
- "I1": [ 93 ],
- "I2": [ 2492 ],
- "I3": [ "0" ],
- "O": [ 2473 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21597": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62783
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2491 ],
- "I1": [ 2485 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2492 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21598": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2493 ],
- "I1": [ 101 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2494 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21599": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2495 ],
- "I1": [ 2496 ],
- "I2": [ 2497 ],
- "I3": [ 2498 ],
- "O": [ 2493 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21600": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2500 ],
- "I2": [ 2501 ],
- "I3": [ 2502 ],
- "O": [ 2498 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21601": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 93 ],
- "I2": [ 90 ],
- "I3": [ "0" ],
- "O": [ 2501 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21602": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 87 ],
- "I1": [ 86 ],
- "I2": [ 84 ],
- "I3": [ 195 ],
- "O": [ 2499 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21603": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 83 ],
- "I2": [ 80 ],
- "I3": [ "0" ],
- "O": [ 2496 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21604": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2503 ],
- "I1": [ 2504 ],
- "I2": [ 2505 ],
- "I3": [ 2506 ],
- "O": [ 2497 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21605": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 22 ],
- "I1": [ 2456 ],
- "I2": [ 2471 ],
- "I3": [ 2507 ],
- "O": [ 2503 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21606": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 372 ],
- "I2": [ 65 ],
- "I3": [ 2508 ],
- "O": [ 2504 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21607": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2509 ],
- "I1": [ 153 ],
- "I2": [ 97 ],
- "I3": [ 2510 ],
- "O": [ 2505 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21608": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 340 ],
- "I2": [ 142 ],
- "I3": [ 2511 ],
- "O": [ 2506 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21609": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2512 ],
- "I1": [ 2513 ],
- "I2": [ 2514 ],
- "I3": [ 103 ],
- "O": [ 2515 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21610": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2496 ],
- "I1": [ 2516 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2512 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21611": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2517 ],
- "I2": [ 2501 ],
- "I3": [ 2518 ],
- "O": [ 2513 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21612": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2519 ],
- "I1": [ 2520 ],
- "I2": [ 2521 ],
- "I3": [ 2522 ],
- "O": [ 2514 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21613": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 374 ],
- "I1": [ 2460 ],
- "I2": [ 342 ],
- "I3": [ 2464 ],
- "O": [ 2519 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21614": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2523 ],
- "I1": [ 153 ],
- "I2": [ 142 ],
- "I3": [ 2524 ],
- "O": [ 2520 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21615": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 2525 ],
- "I2": [ 97 ],
- "I3": [ 2526 ],
- "O": [ 2521 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21616": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2527 ],
- "I2": [ 65 ],
- "I3": [ 2528 ],
- "O": [ 2522 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21617": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2529 ],
- "I1": [ 2530 ],
- "I2": [ 105 ],
- "I3": [ "0" ],
- "O": [ 2531 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21618": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2532 ],
- "I1": [ 2501 ],
- "I2": [ 2533 ],
- "I3": [ "0" ],
- "O": [ 2530 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21619": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2534 ],
- "I1": [ 2535 ],
- "I2": [ 2536 ],
- "I3": [ 2537 ],
- "O": [ 2533 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21620": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 376 ],
- "I2": [ 153 ],
- "I3": [ 2538 ],
- "O": [ 2534 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21621": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2539 ],
- "I2": [ 142 ],
- "I3": [ 2540 ],
- "O": [ 2535 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21622": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2541 ],
- "I1": [ 97 ],
- "I2": [ 65 ],
- "I3": [ 2542 ],
- "O": [ 2536 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21623": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 2543 ],
- "I2": [ 344 ],
- "I3": [ 2464 ],
- "O": [ 2537 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21624": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2544 ],
- "I2": [ 2496 ],
- "I3": [ 2545 ],
- "O": [ 2529 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21625": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2546 ],
- "I1": [ 2547 ],
- "I2": [ 2548 ],
- "I3": [ 107 ],
- "O": [ 2549 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21626": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2550 ],
- "I1": [ 90 ],
- "I2": [ 2551 ],
- "I3": [ 2552 ],
- "O": [ 2547 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21627": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2553 ],
- "I1": [ 153 ],
- "I2": [ 2554 ],
- "I3": [ "0" ],
- "O": [ 2552 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21628": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2555 ],
- "I2": [ 80 ],
- "I3": [ 2556 ],
- "O": [ 2554 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21629": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 346 ],
- "I1": [ 2464 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2551 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21630": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2557 ],
- "I1": [ 65 ],
- "I2": [ 2558 ],
- "I3": [ 2559 ],
- "O": [ 2548 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21631": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 378 ],
- "I2": [ 2560 ],
- "I3": [ "0" ],
- "O": [ 2558 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21632": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2456 ],
- "I1": [ 2561 ],
- "I2": [ 195 ],
- "I3": [ 2562 ],
- "O": [ 2560 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21633": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 142 ],
- "I1": [ 2563 ],
- "I2": [ 97 ],
- "I3": [ 2564 ],
- "O": [ 2559 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21634": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 36608
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ 2556 ],
- "I2": [ 2565 ],
- "I3": [ 84 ],
- "O": [ 2546 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21635": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2562 ],
- "I1": [ 2550 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2565 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21636": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2566 ],
- "I1": [ 2567 ],
- "I2": [ 109 ],
- "I3": [ "0" ],
- "O": [ 2568 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21637": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2569 ],
- "I1": [ 83 ],
- "I2": [ 84 ],
- "I3": [ 2570 ],
- "O": [ 2567 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21638": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2571 ],
- "I1": [ 2572 ],
- "I2": [ 2573 ],
- "I3": [ 2574 ],
- "O": [ 2570 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21639": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 380 ],
- "I2": [ 142 ],
- "I3": [ 2575 ],
- "O": [ 2571 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21640": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2576 ],
- "I1": [ 2471 ],
- "I2": [ 97 ],
- "I3": [ 2577 ],
- "O": [ 2572 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21641": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 348 ],
- "I2": [ 80 ],
- "I3": [ 2569 ],
- "O": [ 2573 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21642": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2578 ],
- "I1": [ 153 ],
- "I2": [ 65 ],
- "I3": [ 2579 ],
- "O": [ 2574 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21643": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2580 ],
- "I2": [ 2501 ],
- "I3": [ 2581 ],
- "O": [ 2566 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21644": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2582 ],
- "I1": [ 111 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2583 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21645": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2584 ],
- "I1": [ 2499 ],
- "I2": [ 2585 ],
- "I3": [ 2586 ],
- "O": [ 2582 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21646": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2587 ],
- "I1": [ 2471 ],
- "I2": [ 2588 ],
- "I3": [ 2589 ],
- "O": [ 2585 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21647": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 350 ],
- "I2": [ 2590 ],
- "I3": [ 2591 ],
- "O": [ 2588 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21648": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 2592 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2590 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21649": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2593 ],
- "I1": [ 142 ],
- "I2": [ 65 ],
- "I3": [ 2594 ],
- "O": [ 2591 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21650": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 382 ],
- "I2": [ 153 ],
- "I3": [ 2595 ],
- "O": [ 2589 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21651": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2496 ],
- "I1": [ 2596 ],
- "I2": [ 2501 ],
- "I3": [ 2597 ],
- "O": [ 2586 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21652": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2496 ],
- "I1": [ 2598 ],
- "I2": [ 2599 ],
- "I3": [ 113 ],
- "O": [ 2600 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21653": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2601 ],
- "I1": [ 2501 ],
- "I2": [ 2602 ],
- "I3": [ 2603 ],
- "O": [ 2599 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21654": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2604 ],
- "I1": [ 2605 ],
- "I2": [ 2606 ],
- "I3": [ "0" ],
- "O": [ 2603 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21655": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 352 ],
- "I2": [ 142 ],
- "I3": [ 2607 ],
- "O": [ 2604 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21656": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2608 ],
- "I2": [ 97 ],
- "I3": [ 2609 ],
- "O": [ 2605 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21657": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 384 ],
- "I2": [ 153 ],
- "I3": [ 2610 ],
- "O": [ 2606 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21658": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2611 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2602 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21659": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2612 ],
- "I1": [ 2613 ],
- "I2": [ 2614 ],
- "I3": [ 115 ],
- "O": [ 2615 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21660": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 354 ],
- "I2": [ 2616 ],
- "I3": [ 2617 ],
- "O": [ 2614 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21661": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2618 ],
- "I1": [ 2471 ],
- "I2": [ 2619 ],
- "I3": [ 2620 ],
- "O": [ 2616 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21662": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 2621 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2619 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21663": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 153 ],
- "I1": [ 2622 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2620 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21664": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 386 ],
- "I2": [ 142 ],
- "I3": [ 2623 ],
- "O": [ 2617 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21665": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2501 ],
- "I1": [ 2624 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2612 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21666": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2625 ],
- "I2": [ 2496 ],
- "I3": [ 2626 ],
- "O": [ 2613 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21667": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2627 ],
- "I1": [ 117 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2628 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21668": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2629 ],
- "I1": [ 2499 ],
- "I2": [ 2630 ],
- "I3": [ 2631 ],
- "O": [ 2627 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21669": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2496 ],
- "I1": [ 2632 ],
- "I2": [ 2501 ],
- "I3": [ 2633 ],
- "O": [ 2630 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21670": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2634 ],
- "I1": [ 2635 ],
- "I2": [ 2636 ],
- "I3": [ "0" ],
- "O": [ 2631 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21671": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 388 ],
- "I2": [ 153 ],
- "I3": [ 2637 ],
- "O": [ 2634 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21672": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 356 ],
- "I2": [ 97 ],
- "I3": [ 2638 ],
- "O": [ 2635 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21673": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2639 ],
- "I2": [ 142 ],
- "I3": [ 2640 ],
- "O": [ 2636 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21674": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2641 ],
- "I1": [ 119 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2642 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21675": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2643 ],
- "I1": [ 2501 ],
- "I2": [ 2644 ],
- "I3": [ 2645 ],
- "O": [ 2641 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21676": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2646 ],
- "I2": [ 2496 ],
- "I3": [ 2647 ],
- "O": [ 2645 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21677": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2648 ],
- "I1": [ 2649 ],
- "I2": [ 2650 ],
- "I3": [ "0" ],
- "O": [ 2644 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21678": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2651 ],
- "I2": [ 390 ],
- "I3": [ 2460 ],
- "O": [ 2648 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21679": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2652 ],
- "I1": [ 142 ],
- "I2": [ 97 ],
- "I3": [ 2653 ],
- "O": [ 2649 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21680": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 358 ],
- "I2": [ 153 ],
- "I3": [ 2654 ],
- "O": [ 2650 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21681": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2655 ],
- "I1": [ 121 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2656 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21682": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2657 ],
- "I1": [ 2496 ],
- "I2": [ 2658 ],
- "I3": [ 2659 ],
- "O": [ 2655 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21683": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2660 ],
- "I2": [ 2501 ],
- "I3": [ 2661 ],
- "O": [ 2659 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21684": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2662 ],
- "I1": [ 2663 ],
- "I2": [ 2664 ],
- "I3": [ "0" ],
- "O": [ 2658 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21685": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2665 ],
- "I2": [ 360 ],
- "I3": [ 2464 ],
- "O": [ 2662 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21686": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 153 ],
- "I1": [ 2666 ],
- "I2": [ 142 ],
- "I3": [ 2667 ],
- "O": [ 2663 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21687": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 392 ],
- "I2": [ 97 ],
- "I3": [ 2668 ],
- "O": [ 2664 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21688": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2669 ],
- "I1": [ 123 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2670 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21689": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 53248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2671 ],
- "I1": [ 2501 ],
- "I2": [ 2672 ],
- "I3": [ 2673 ],
- "O": [ 2669 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21690": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2674 ],
- "I2": [ 2496 ],
- "I3": [ 2675 ],
- "O": [ 2673 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21691": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2676 ],
- "I1": [ 2677 ],
- "I2": [ 2678 ],
- "I3": [ "0" ],
- "O": [ 2672 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21692": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2460 ],
- "I1": [ 394 ],
- "I2": [ 97 ],
- "I3": [ 2679 ],
- "O": [ 2676 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21693": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2680 ],
- "I1": [ 2471 ],
- "I2": [ 153 ],
- "I3": [ 2681 ],
- "O": [ 2677 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21694": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 362 ],
- "I2": [ 142 ],
- "I3": [ 2682 ],
- "O": [ 2678 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21695": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2683 ],
- "I2": [ 2496 ],
- "I3": [ 2684 ],
- "O": [ 2685 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21696": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2686 ],
- "I1": [ 2471 ],
- "I2": [ 2687 ],
- "I3": [ 2688 ],
- "O": [ 2689 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21697": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 396 ],
- "I1": [ 2460 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2687 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21698": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 364 ],
- "I2": [ 142 ],
- "I3": [ 2690 ],
- "O": [ 2688 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21699": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2691 ],
- "I1": [ 153 ],
- "I2": [ 97 ],
- "I3": [ 2692 ],
- "O": [ 2693 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21700": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2694 ],
- "I1": [ 2695 ],
- "I2": [ 2696 ],
- "I3": [ 127 ],
- "O": [ 2697 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21701": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2501 ],
- "I1": [ 2698 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2694 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21702": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45243
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2499 ],
- "I1": [ 2699 ],
- "I2": [ 2496 ],
- "I3": [ 2700 ],
- "O": [ 2695 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21703": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2701 ],
- "I1": [ 2702 ],
- "I2": [ 2703 ],
- "I3": [ "0" ],
- "O": [ 2696 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21704": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2704 ],
- "I1": [ 142 ],
- "I2": [ 97 ],
- "I3": [ 2705 ],
- "O": [ 2701 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21705": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2464 ],
- "I1": [ 366 ],
- "I2": [ 153 ],
- "I3": [ 2706 ],
- "O": [ 2702 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21706": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2471 ],
- "I1": [ 2707 ],
- "I2": [ 398 ],
- "I3": [ 2460 ],
- "O": [ 2703 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21707": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 2708 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2709 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21708": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 2710 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2711 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21709": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3584
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 64 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2712 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21710": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 1203 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2713 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21711": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 1203 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2714 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21712": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2715 ],
- "I1": [ 175 ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 2716 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21713": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 170 ],
- "I2": [ 167 ],
- "I3": [ 168 ],
- "O": [ 2715 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21714": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 64 ],
- "I2": [ 86 ],
- "I3": [ 87 ],
- "O": [ 2717 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21715": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 2718 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2719 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21716": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ 56 ],
- "I2": [ 54 ],
- "I3": [ 1203 ],
- "O": [ 2718 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21717": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2718 ],
- "I1": [ 1237 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2720 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21718": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 64 ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 2721 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21719": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 64 ],
- "I2": [ 83 ],
- "I3": [ "0" ],
- "O": [ 2722 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21720": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 172
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2723 ],
- "I1": [ 2724 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2726 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21721": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2727 ],
- "I1": [ 2728 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2729 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21722": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2730 ],
- "I1": [ 2731 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2732 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21723": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2733 ],
- "I1": [ 2734 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2735 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21724": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2736 ],
- "I1": [ 2737 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2738 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21725": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2739 ],
- "I1": [ 2740 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2741 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21726": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2742 ],
- "I1": [ 2743 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2744 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21727": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2745 ],
- "I1": [ 2746 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2747 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21728": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2748 ],
- "I1": [ 2749 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2750 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21729": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2751 ],
- "I1": [ 2752 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2753 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21730": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2754 ],
- "I1": [ 2755 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2756 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21731": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2757 ],
- "I1": [ 2758 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2759 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21732": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2760 ],
- "I1": [ 2761 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2762 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21733": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2763 ],
- "I1": [ 2764 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2765 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21734": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2766 ],
- "I1": [ 2767 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2768 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21735": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2769 ],
- "I1": [ 2770 ],
- "I2": [ 2725 ],
- "I3": [ "0" ],
- "O": [ 2771 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21736": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 2464 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2772 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21737": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 2460 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2773 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21738": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ 2456 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2774 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21739": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2775 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2776 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21740": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 161 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2777 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21741": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2778 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21742": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2779 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21743": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 136 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2780 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21744": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 130 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2781 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21745": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 140 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2782 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21746": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2783 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21747": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 143 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2784 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21748": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 149 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2785 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21749": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2786 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21750": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1243 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2787 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21751": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1240 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2788 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21752": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2789 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21753": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2790 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21754": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1231 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2791 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21755": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1228 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2792 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21756": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1225 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2793 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21757": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1222 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2794 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21758": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1219 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2795 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21759": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2796 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21760": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1213 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2797 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21761": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1210 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2798 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21762": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2799 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21763": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1204 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2800 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21764": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2801 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21765": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2802 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21766": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2803 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21767": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2804 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21768": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2805 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21769": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2806 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21770": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2807 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21771": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2808 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21772": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4592
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2809 ],
- "I1": [ 193 ],
- "I2": [ 189 ],
- "I3": [ 185 ],
- "O": [ 2810 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21773": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4592
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 191 ],
- "I1": [ 2811 ],
- "I2": [ 2810 ],
- "I3": [ 186 ],
- "O": [ 2812 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21774": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4367
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 187 ],
- "I1": [ 2813 ],
- "I2": [ 2814 ],
- "I3": [ 186 ],
- "O": [ 2815 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21775": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 52400
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 2812 ],
- "I2": [ 2815 ],
- "I3": [ 185 ],
- "O": [ 2816 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21776": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2817 ],
- "I1": [ 2818 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2819 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21777": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2820 ],
- "I1": [ 2821 ],
- "I2": [ 87 ],
- "I3": [ 2819 ],
- "O": [ 96 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21778": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2822 ],
- "I1": [ 2823 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2824 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21779": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2825 ],
- "I1": [ 2826 ],
- "I2": [ 87 ],
- "I3": [ 2824 ],
- "O": [ 99 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21780": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2827 ],
- "I1": [ 2828 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2829 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21781": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2830 ],
- "I1": [ 2831 ],
- "I2": [ 87 ],
- "I3": [ 2829 ],
- "O": [ 101 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21782": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2832 ],
- "I1": [ 2833 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2834 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21783": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2835 ],
- "I1": [ 2836 ],
- "I2": [ 87 ],
- "I3": [ 2834 ],
- "O": [ 103 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21784": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2837 ],
- "I1": [ 2838 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2839 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21785": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2840 ],
- "I1": [ 2841 ],
- "I2": [ 87 ],
- "I3": [ 2839 ],
- "O": [ 105 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21786": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2842 ],
- "I1": [ 2843 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2844 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21787": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2845 ],
- "I1": [ 2846 ],
- "I2": [ 87 ],
- "I3": [ 2844 ],
- "O": [ 107 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21788": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2847 ],
- "I1": [ 2848 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2849 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21789": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2850 ],
- "I1": [ 2851 ],
- "I2": [ 87 ],
- "I3": [ 2849 ],
- "O": [ 109 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21790": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2852 ],
- "I1": [ 2853 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2854 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21791": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2855 ],
- "I1": [ 2856 ],
- "I2": [ 87 ],
- "I3": [ 2854 ],
- "O": [ 111 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21792": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2857 ],
- "I1": [ 2858 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2859 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21793": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2860 ],
- "I1": [ 2861 ],
- "I2": [ 87 ],
- "I3": [ 2859 ],
- "O": [ 113 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21794": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2862 ],
- "I1": [ 2863 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2864 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21795": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2865 ],
- "I1": [ 2866 ],
- "I2": [ 87 ],
- "I3": [ 2864 ],
- "O": [ 115 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21796": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2867 ],
- "I1": [ 2868 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2869 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21797": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2870 ],
- "I1": [ 2871 ],
- "I2": [ 87 ],
- "I3": [ 2869 ],
- "O": [ 117 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21798": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2872 ],
- "I1": [ 2873 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2874 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21799": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2875 ],
- "I1": [ 2876 ],
- "I2": [ 87 ],
- "I3": [ 2874 ],
- "O": [ 119 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21800": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2877 ],
- "I1": [ 2878 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2879 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21801": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2880 ],
- "I1": [ 2881 ],
- "I2": [ 87 ],
- "I3": [ 2879 ],
- "O": [ 121 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21802": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2882 ],
- "I1": [ 2883 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2884 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21803": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2885 ],
- "I1": [ 2886 ],
- "I2": [ 87 ],
- "I3": [ 2884 ],
- "O": [ 123 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21804": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2887 ],
- "I1": [ 2888 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2889 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21805": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2890 ],
- "I1": [ 2891 ],
- "I2": [ 87 ],
- "I3": [ 2889 ],
- "O": [ 125 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21806": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2892 ],
- "I1": [ 2893 ],
- "I2": [ 87 ],
- "I3": [ 86 ],
- "O": [ 2894 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21807": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62213
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2895 ],
- "I1": [ 2896 ],
- "I2": [ 87 ],
- "I3": [ 2894 ],
- "O": [ 127 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21808": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2288 ],
- "I1": [ 2308 ],
- "I2": [ 2313 ],
- "I3": [ "0" ],
- "O": [ 2897 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21809": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2292 ],
- "I1": [ 1210 ],
- "I2": [ 2313 ],
- "I3": [ 2351 ],
- "O": [ 2898 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21810": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2357 ],
- "I1": [ 2363 ],
- "I2": [ 2313 ],
- "I3": [ "0" ],
- "O": [ 2899 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21811": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 2287 ],
- "I2": [ 2285 ],
- "I3": [ 1213 ],
- "O": [ 2900 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21812": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2901 ],
- "I1": [ 2302 ],
- "I2": [ 427 ],
- "I3": [ 1203 ],
- "O": [ 2902 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21813": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2902 ],
- "I1": [ 2313 ],
- "I2": [ 2370 ],
- "I3": [ 2371 ],
- "O": [ 2903 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21814": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2900 ],
- "I1": [ 2903 ],
- "I2": [ 2367 ],
- "I3": [ "0" ],
- "O": [ 2904 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21815": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 427 ],
- "I1": [ 54 ],
- "I2": [ 1219 ],
- "I3": [ 2292 ],
- "O": [ 2905 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21816": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2905 ],
- "I1": [ 2313 ],
- "I2": [ 2374 ],
- "I3": [ "0" ],
- "O": [ 2906 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21817": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1216 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 458 ],
- "O": [ 2907 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21818": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1216 ],
- "I3": [ 2907 ],
- "O": [ 2908 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21819": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2906 ],
- "I1": [ 2908 ],
- "I2": [ 2377 ],
- "I3": [ "0" ],
- "O": [ 2909 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21820": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1225 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 473 ],
- "O": [ 2910 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21821": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2401 ],
- "I1": [ 2405 ],
- "I2": [ 2404 ],
- "I3": [ 2403 ],
- "O": [ 2911 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21822": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1228 ],
- "I3": [ 2313 ],
- "O": [ 2912 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21823": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2913 ],
- "I2": [ 1225 ],
- "I3": [ 2343 ],
- "O": [ 2914 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21824": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1228 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 478 ],
- "O": [ 2915 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21825": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2912 ],
- "I1": [ 2914 ],
- "I2": [ 2915 ],
- "I3": [ 2410 ],
- "O": [ 2916 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21826": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1234 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 488 ],
- "O": [ 2917 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21827": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1234 ],
- "I3": [ 2917 ],
- "O": [ 2918 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21828": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2319 ],
- "I2": [ 2313 ],
- "I3": [ 2424 ],
- "O": [ 2919 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21829": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2918 ],
- "I1": [ 2919 ],
- "I2": [ 2428 ],
- "I3": [ "0" ],
- "O": [ 2920 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21830": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1237 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 493 ],
- "O": [ 2921 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21831": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 45056
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2285 ],
- "I1": [ 1237 ],
- "I2": [ 2921 ],
- "I3": [ 2313 ],
- "O": [ 2922 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21832": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2320 ],
- "I2": [ 2431 ],
- "I3": [ 2436 ],
- "O": [ 2923 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21833": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2922 ],
- "I1": [ 2923 ],
- "I2": [ 2435 ],
- "I3": [ "0" ],
- "O": [ 2924 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21834": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1240 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 498 ],
- "O": [ 2925 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21835": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1240 ],
- "I3": [ 2925 ],
- "O": [ 2926 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21836": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2289 ],
- "I1": [ 1322 ],
- "I2": [ 1237 ],
- "I3": [ 2343 ],
- "O": [ 2927 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21837": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2927 ],
- "I1": [ 2313 ],
- "I2": [ 2442 ],
- "I3": [ "0" ],
- "O": [ 2928 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21838": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2926 ],
- "I1": [ 2928 ],
- "I2": [ 2439 ],
- "I3": [ "0" ],
- "O": [ 2929 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21839": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 30479
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 503 ],
- "I1": [ 2287 ],
- "I2": [ 2300 ],
- "I3": [ 1243 ],
- "O": [ 2930 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21840": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2931 ],
- "I2": [ 1240 ],
- "I3": [ 2343 ],
- "O": [ 2932 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21841": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2445 ],
- "I1": [ 2443 ],
- "I2": [ 2447 ],
- "I3": [ 2448 ],
- "O": [ 2933 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21842": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2930 ],
- "I1": [ 2932 ],
- "I2": [ 2933 ],
- "I3": [ 2444 ],
- "O": [ 2934 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21843": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1246 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 508 ],
- "O": [ 2935 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21844": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 112
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2302 ],
- "I1": [ 2936 ],
- "I2": [ 2451 ],
- "I3": [ "0" ],
- "O": [ 2937 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21845": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2047
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 93 ],
- "I2": [ 90 ],
- "I3": [ 2938 ],
- "O": [ 2939 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21846": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2939 ],
- "I1": [ 2685 ],
- "I2": [ 2689 ],
- "I3": [ 2693 ],
- "O": [ 2940 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21847": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 2940 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 2941 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21848": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 2290 ],
- "I3": [ 1198 ],
- "O": [ 2942 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21849": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1198 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 433 ],
- "O": [ 2943 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21850": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2297 ],
- "I1": [ 2326 ],
- "I2": [ 2295 ],
- "I3": [ 2305 ],
- "O": [ 2944 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21851": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2942 ],
- "I1": [ 2943 ],
- "I2": [ 2944 ],
- "I3": [ 2897 ],
- "O": [ 2945 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21852": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1207 ],
- "I3": [ 2352 ],
- "O": [ 2946 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21853": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1207 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 443 ],
- "O": [ 2947 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21854": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1792
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2948 ],
- "I2": [ 2356 ],
- "I3": [ 2355 ],
- "O": [ 2949 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21855": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2946 ],
- "I1": [ 2947 ],
- "I2": [ 2949 ],
- "I3": [ 2898 ],
- "O": [ 2950 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21856": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1210 ],
- "I3": [ 2359 ],
- "O": [ 2951 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21857": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 1210 ],
- "I1": [ 2287 ],
- "I2": [ 2283 ],
- "I3": [ 448 ],
- "O": [ 2952 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21858": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2953 ],
- "I2": [ 2361 ],
- "I3": [ 2362 ],
- "O": [ 2954 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21859": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2951 ],
- "I1": [ 2952 ],
- "I2": [ 2954 ],
- "I3": [ 2899 ],
- "O": [ 2955 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21860": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 50432
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 1225 ],
- "I3": [ 2910 ],
- "O": [ 2956 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21861": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28791
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2957 ],
- "I1": [ 2302 ],
- "I2": [ 427 ],
- "I3": [ 2266 ],
- "O": [ 2958 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21862": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2956 ],
- "I1": [ 2958 ],
- "I2": [ 2313 ],
- "I3": [ 2911 ],
- "O": [ 2959 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21863": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3077
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2300 ],
- "I1": [ 2285 ],
- "I2": [ 2449 ],
- "I3": [ 1246 ],
- "O": [ 2960 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2293 ],
- "I1": [ 2961 ],
- "I2": [ 2450 ],
- "I3": [ 2935 ],
- "O": [ 2962 ]
- }
- },
- "$abc$20048$auto$blifparse.cc:492:parse_blif$21865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32767
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 2960 ],
- "I1": [ 2962 ],
- "I2": [ 2444 ],
- "I3": [ 2937 ],
- "O": [ 2963 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2304 ],
- "I2": [ 426 ],
- "I3": [ "0" ],
- "O": [ 2964 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 2965 ],
- "I0": [ 2304 ],
- "I1": [ 426 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2349 ],
- "I2": [ 429 ],
- "I3": [ 2965 ],
- "O": [ 2966 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2965 ],
- "CO": [ 2967 ],
- "I0": [ 2349 ],
- "I1": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2354 ],
- "I2": [ 429 ],
- "I3": [ 2967 ],
- "O": [ 2968 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2967 ],
- "CO": [ 2969 ],
- "I0": [ 2354 ],
- "I1": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2358 ],
- "I2": [ 429 ],
- "I3": [ 2969 ],
- "O": [ 2970 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2969 ],
- "CO": [ 2971 ],
- "I0": [ 2358 ],
- "I1": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 2369 ],
- "I2": [ 429 ],
- "I3": [ 2971 ],
- "O": [ 2972 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 2179 ],
- "I3": [ "0" ],
- "O": [ 2174 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2973 ],
- "I3": [ 2974 ],
- "O": [ 2215 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2974 ],
- "CO": [ 2975 ],
- "I0": [ "0" ],
- "I1": [ 2973 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2976 ],
- "I3": [ 2975 ],
- "O": [ 2219 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2975 ],
- "CO": [ 2977 ],
- "I0": [ "0" ],
- "I1": [ 2976 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 61 ],
- "I3": [ 2977 ],
- "O": [ 2223 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2179 ],
- "CO": [ 2978 ],
- "I0": [ "0" ],
- "I1": [ 2178 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2979 ],
- "I3": [ 2978 ],
- "O": [ 2183 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2978 ],
- "CO": [ 2980 ],
- "I0": [ "0" ],
- "I1": [ 2979 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2981 ],
- "I3": [ 2980 ],
- "O": [ 2187 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2980 ],
- "CO": [ 2982 ],
- "I0": [ "0" ],
- "I1": [ 2981 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2983 ],
- "I3": [ 2982 ],
- "O": [ 2191 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2982 ],
- "CO": [ 2984 ],
- "I0": [ "0" ],
- "I1": [ 2983 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2985 ],
- "I3": [ 2984 ],
- "O": [ 2195 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2984 ],
- "CO": [ 2986 ],
- "I0": [ "0" ],
- "I1": [ 2985 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2987 ],
- "I3": [ 2986 ],
- "O": [ 2199 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2986 ],
- "CO": [ 2988 ],
- "I0": [ "0" ],
- "I1": [ 2987 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2989 ],
- "I3": [ 2988 ],
- "O": [ 2203 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2988 ],
- "CO": [ 2990 ],
- "I0": [ "0" ],
- "I1": [ 2989 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2991 ],
- "I3": [ 2990 ],
- "O": [ 2207 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2990 ],
- "CO": [ 2992 ],
- "I0": [ "0" ],
- "I1": [ 2991 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 2993 ],
- "I3": [ 2992 ],
- "O": [ 2211 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2992 ],
- "CO": [ 2974 ],
- "I0": [ "0" ],
- "I1": [ 2993 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 70 ],
- "I3": [ "0" ],
- "O": [ 2994 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 70 ],
- "CO": [ 2995 ],
- "I0": [ "0" ],
- "I1": [ 72 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 73 ],
- "I3": [ 2995 ],
- "O": [ 2996 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2995 ],
- "CO": [ 2997 ],
- "I0": [ "0" ],
- "I1": [ 73 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 67 ],
- "I3": [ 2997 ],
- "O": [ 2998 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2997 ],
- "CO": [ 2999 ],
- "I0": [ "0" ],
- "I1": [ 67 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 68 ],
- "I3": [ 2999 ],
- "O": [ 3000 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 2999 ],
- "CO": [ 3001 ],
- "I0": [ "0" ],
- "I1": [ 68 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 74 ],
- "I3": [ 3001 ],
- "O": [ 3002 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3001 ],
- "CO": [ 3003 ],
- "I0": [ "0" ],
- "I1": [ 74 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 75 ],
- "I3": [ 3003 ],
- "O": [ 3004 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 433 ],
- "I2": [ 2801 ],
- "I3": [ "1" ],
- "O": [ 2326 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "1" ],
- "CO": [ 3005 ],
- "I0": [ 433 ],
- "I1": [ 2801 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 483 ],
- "I2": [ 2791 ],
- "I3": [ 3006 ],
- "O": [ 2336 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3006 ],
- "CO": [ 3007 ],
- "I0": [ 483 ],
- "I1": [ 2791 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 488 ],
- "I2": [ 2790 ],
- "I3": [ 3007 ],
- "O": [ 2319 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3007 ],
- "CO": [ 3008 ],
- "I0": [ 488 ],
- "I1": [ 2790 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 493 ],
- "I2": [ 2789 ],
- "I3": [ 3008 ],
- "O": [ 2320 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3008 ],
- "CO": [ 3009 ],
- "I0": [ 493 ],
- "I1": [ 2789 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 498 ],
- "I2": [ 2788 ],
- "I3": [ 3009 ],
- "O": [ 2321 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3009 ],
- "CO": [ 3010 ],
- "I0": [ 498 ],
- "I1": [ 2788 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 503 ],
- "I2": [ 2787 ],
- "I3": [ 3010 ],
- "O": [ 2334 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3010 ],
- "CO": [ 3011 ],
- "I0": [ 503 ],
- "I1": [ 2787 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 508 ],
- "I2": [ 2786 ],
- "I3": [ 3011 ],
- "O": [ 2335 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[15].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3011 ],
- "CO": [ 3012 ],
- "I0": [ 508 ],
- "I1": [ 2786 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[16].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ "1" ],
- "I3": [ 3012 ],
- "O": [ 2315 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 438 ],
- "I2": [ 2800 ],
- "I3": [ 3005 ],
- "O": [ 2333 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3005 ],
- "CO": [ 3013 ],
- "I0": [ 438 ],
- "I1": [ 2800 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 443 ],
- "I2": [ 2799 ],
- "I3": [ 3013 ],
- "O": [ 2327 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3013 ],
- "CO": [ 3014 ],
- "I0": [ 443 ],
- "I1": [ 2799 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 448 ],
- "I2": [ 2798 ],
- "I3": [ 3014 ],
- "O": [ 2323 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3014 ],
- "CO": [ 3015 ],
- "I0": [ 448 ],
- "I1": [ 2798 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 453 ],
- "I2": [ 2797 ],
- "I3": [ 3015 ],
- "O": [ 2324 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3015 ],
- "CO": [ 3016 ],
- "I0": [ 453 ],
- "I1": [ 2797 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 458 ],
- "I2": [ 2796 ],
- "I3": [ 3016 ],
- "O": [ 2325 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3016 ],
- "CO": [ 3017 ],
- "I0": [ 458 ],
- "I1": [ 2796 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 463 ],
- "I2": [ 2795 ],
- "I3": [ 3017 ],
- "O": [ 2322 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3017 ],
- "CO": [ 3018 ],
- "I0": [ 463 ],
- "I1": [ 2795 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 468 ],
- "I2": [ 2794 ],
- "I3": [ 3018 ],
- "O": [ 2330 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3018 ],
- "CO": [ 3019 ],
- "I0": [ 468 ],
- "I1": [ 2794 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 473 ],
- "I2": [ 2793 ],
- "I3": [ 3019 ],
- "O": [ 2331 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3019 ],
- "CO": [ 3020 ],
- "I0": [ 473 ],
- "I1": [ 2793 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 478 ],
- "I2": [ 2792 ],
- "I3": [ 3020 ],
- "O": [ 2332 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3020 ],
- "CO": [ 3006 ],
- "I0": [ 478 ],
- "I1": [ 2792 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1198 ],
- "I2": [ 433 ],
- "I3": [ "0" ],
- "O": [ 2301 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[0].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ "0" ],
- "CO": [ 3021 ],
- "I0": [ 1198 ],
- "I1": [ 433 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1231 ],
- "I2": [ 483 ],
- "I3": [ 3022 ],
- "O": [ 2418 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3022 ],
- "CO": [ 3023 ],
- "I0": [ 1231 ],
- "I1": [ 483 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1234 ],
- "I2": [ 488 ],
- "I3": [ 3023 ],
- "O": [ 2429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3023 ],
- "CO": [ 3024 ],
- "I0": [ 1234 ],
- "I1": [ 488 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1237 ],
- "I2": [ 493 ],
- "I3": [ 3024 ],
- "O": [ 2432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3024 ],
- "CO": [ 3025 ],
- "I0": [ 1237 ],
- "I1": [ 493 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1240 ],
- "I2": [ 498 ],
- "I3": [ 3025 ],
- "O": [ 2441 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3025 ],
- "CO": [ 3026 ],
- "I0": [ 1240 ],
- "I1": [ 498 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1243 ],
- "I2": [ 503 ],
- "I3": [ 3026 ],
- "O": [ 2446 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3026 ],
- "CO": [ 3027 ],
- "I0": [ 1243 ],
- "I1": [ 503 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1246 ],
- "I2": [ 508 ],
- "I3": [ 3027 ],
- "O": [ 2936 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[1].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1204 ],
- "I2": [ 438 ],
- "I3": [ 3021 ],
- "O": [ 2348 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3021 ],
- "CO": [ 3028 ],
- "I0": [ 1204 ],
- "I1": [ 438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1207 ],
- "I2": [ 443 ],
- "I3": [ 3028 ],
- "O": [ 2353 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3028 ],
- "CO": [ 3029 ],
- "I0": [ 1207 ],
- "I1": [ 443 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1210 ],
- "I2": [ 448 ],
- "I3": [ 3029 ],
- "O": [ 2360 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3029 ],
- "CO": [ 3030 ],
- "I0": [ 1210 ],
- "I1": [ 448 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1213 ],
- "I2": [ 453 ],
- "I3": [ 3030 ],
- "O": [ 2901 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3030 ],
- "CO": [ 3031 ],
- "I0": [ 1213 ],
- "I1": [ 453 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1216 ],
- "I2": [ 458 ],
- "I3": [ 3031 ],
- "O": [ 2372 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3031 ],
- "CO": [ 3032 ],
- "I0": [ 1216 ],
- "I1": [ 458 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1219 ],
- "I2": [ 463 ],
- "I3": [ 3032 ],
- "O": [ 2384 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3032 ],
- "CO": [ 3033 ],
- "I0": [ 1219 ],
- "I1": [ 463 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1222 ],
- "I2": [ 468 ],
- "I3": [ 3033 ],
- "O": [ 2398 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3033 ],
- "CO": [ 3034 ],
- "I0": [ 1222 ],
- "I1": [ 468 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1225 ],
- "I2": [ 473 ],
- "I3": [ 3034 ],
- "O": [ 2957 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3034 ],
- "CO": [ 3035 ],
- "I0": [ 1225 ],
- "I1": [ 473 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 1228 ],
- "I2": [ 478 ],
- "I3": [ 3035 ],
- "O": [ 2411 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3035 ],
- "CO": [ 3022 ],
- "I0": [ 1228 ],
- "I1": [ 478 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 129 ],
- "I3": [ "0" ],
- "O": [ 3036 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 129 ],
- "CO": [ 3037 ],
- "I0": [ "0" ],
- "I1": [ 132 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 133 ],
- "I3": [ 3037 ],
- "O": [ 3038 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3037 ],
- "CO": [ 3039 ],
- "I0": [ "0" ],
- "I1": [ 133 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 134 ],
- "I3": [ 3039 ],
- "O": [ 3040 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 166 ],
- "I3": [ "0" ],
- "O": [ 414 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 166 ],
- "CO": [ 3041 ],
- "I0": [ "0" ],
- "I1": [ 169 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 167 ],
- "I3": [ 3041 ],
- "O": [ 417 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3041 ],
- "CO": [ 3042 ],
- "I0": [ "0" ],
- "I1": [ 167 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 168 ],
- "I3": [ 3042 ],
- "O": [ 419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3042 ],
- "CO": [ 3043 ],
- "I0": [ "0" ],
- "I1": [ 168 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 170 ],
- "I3": [ 3043 ],
- "O": [ 421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 178 ],
- "I3": [ "0" ],
- "O": [ 2228 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 178 ],
- "CO": [ 3044 ],
- "I0": [ "0" ],
- "I1": [ 177 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 179 ],
- "I3": [ 3044 ],
- "O": [ 2230 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3044 ],
- "CO": [ 3045 ],
- "I0": [ "0" ],
- "I1": [ 179 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 180 ],
- "I3": [ 3045 ],
- "O": [ 2232 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3045 ],
- "CO": [ 3046 ],
- "I0": [ "0" ],
- "I1": [ 180 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 181 ],
- "I3": [ 3046 ],
- "O": [ 2234 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3046 ],
- "CO": [ 3047 ],
- "I0": [ "0" ],
- "I1": [ 181 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 182 ],
- "I3": [ 3047 ],
- "O": [ 2236 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 159 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 3048 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 159 ],
- "CO": [ 3049 ],
- "I0": [ 156 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 158 ],
- "I2": [ "1" ],
- "I3": [ 3049 ],
- "O": [ 3050 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 3049 ],
- "CO": [ 3051 ],
- "I0": [ 158 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 157 ],
- "I2": [ "1" ],
- "I3": [ 3051 ],
- "O": [ 3052 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1000": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 2712 ],
- "Q": [ 2856 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1001": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 216 ],
- "E": [ 2712 ],
- "Q": [ 2861 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1002": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 218 ],
- "E": [ 2712 ],
- "Q": [ 2866 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1003": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 220 ],
- "E": [ 2712 ],
- "Q": [ 2871 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1004": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 222 ],
- "E": [ 2712 ],
- "Q": [ 2876 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1005": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 224 ],
- "E": [ 2712 ],
- "Q": [ 2881 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1006": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 226 ],
- "E": [ 2712 ],
- "Q": [ 2886 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1007": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 228 ],
- "E": [ 2712 ],
- "Q": [ 2891 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1008": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 230 ],
- "E": [ 2712 ],
- "Q": [ 2896 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1336": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 2722 ],
- "Q": [ 2817 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1337": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 2722 ],
- "Q": [ 2822 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1338": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 2722 ],
- "Q": [ 2827 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1339": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 2722 ],
- "Q": [ 2832 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1340": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 208 ],
- "E": [ 2722 ],
- "Q": [ 2837 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1341": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 210 ],
- "E": [ 2722 ],
- "Q": [ 2842 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1342": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 212 ],
- "E": [ 2722 ],
- "Q": [ 2847 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1343": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 2722 ],
- "Q": [ 2852 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1344": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 216 ],
- "E": [ 2722 ],
- "Q": [ 2857 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1345": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 218 ],
- "E": [ 2722 ],
- "Q": [ 2862 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1346": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 220 ],
- "E": [ 2722 ],
- "Q": [ 2867 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1347": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 222 ],
- "E": [ 2722 ],
- "Q": [ 2872 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1348": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 224 ],
- "E": [ 2722 ],
- "Q": [ 2877 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1349": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 226 ],
- "E": [ 2722 ],
- "Q": [ 2882 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1350": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 228 ],
- "E": [ 2722 ],
- "Q": [ 2887 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1351": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 230 ],
- "E": [ 2722 ],
- "Q": [ 2892 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1755": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 2721 ],
- "Q": [ 2818 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1756": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 2721 ],
- "Q": [ 2823 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1757": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 2721 ],
- "Q": [ 2828 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1758": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 2721 ],
- "Q": [ 2833 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1759": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 208 ],
- "E": [ 2721 ],
- "Q": [ 2838 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1760": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 210 ],
- "E": [ 2721 ],
- "Q": [ 2843 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1761": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 212 ],
- "E": [ 2721 ],
- "Q": [ 2848 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1762": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 2721 ],
- "Q": [ 2853 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1763": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 216 ],
- "E": [ 2721 ],
- "Q": [ 2858 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1764": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 218 ],
- "E": [ 2721 ],
- "Q": [ 2863 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1765": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 220 ],
- "E": [ 2721 ],
- "Q": [ 2868 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1766": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 222 ],
- "E": [ 2721 ],
- "Q": [ 2873 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1767": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 224 ],
- "E": [ 2721 ],
- "Q": [ 2878 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1768": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 226 ],
- "E": [ 2721 ],
- "Q": [ 2883 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1769": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 228 ],
- "E": [ 2721 ],
- "Q": [ 2888 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1770": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 230 ],
- "E": [ 2721 ],
- "Q": [ 2893 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1839": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 433 ],
- "Q": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1840": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 438 ],
- "Q": [ 202 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1841": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 443 ],
- "Q": [ 204 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1842": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 448 ],
- "Q": [ 206 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 453 ],
- "Q": [ 208 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1844": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 458 ],
- "Q": [ 210 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 463 ],
- "Q": [ 212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1846": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 468 ],
- "Q": [ 214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1847": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 473 ],
- "Q": [ 216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1848": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 478 ],
- "Q": [ 218 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1849": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 483 ],
- "Q": [ 220 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1850": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 488 ],
- "Q": [ 222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1851": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 493 ],
- "Q": [ 224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1852": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 498 ],
- "Q": [ 226 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1853": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 503 ],
- "Q": [ 228 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1854": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 508 ],
- "Q": [ 230 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1855": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2714 ],
- "Q": [ 85 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1856": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2713 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1857": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 2709 ],
- "Q": [ 3054 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1858": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 2709 ],
- "Q": [ 3055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1859": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 2709 ],
- "Q": [ 3056 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1860": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 201 ],
- "E": [ 197 ],
- "Q": [ 198 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1861": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 203 ],
- "E": [ 199 ],
- "Q": [ 2491 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1862": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 205 ],
- "E": [ 199 ],
- "Q": [ 2500 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1863": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 207 ],
- "E": [ 199 ],
- "Q": [ 2517 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1864": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 209 ],
- "E": [ 199 ],
- "Q": [ 2544 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1865": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 211 ],
- "E": [ 199 ],
- "Q": [ 2562 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1866": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 213 ],
- "E": [ 199 ],
- "Q": [ 2580 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1867": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 215 ],
- "E": [ 199 ],
- "Q": [ 2584 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1868": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 217 ],
- "E": [ 199 ],
- "Q": [ 2611 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1869": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 219 ],
- "E": [ 199 ],
- "Q": [ 2625 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1870": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 221 ],
- "E": [ 199 ],
- "Q": [ 2629 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1871": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 223 ],
- "E": [ 199 ],
- "Q": [ 2646 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1872": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 225 ],
- "E": [ 199 ],
- "Q": [ 2660 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1873": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 227 ],
- "E": [ 199 ],
- "Q": [ 2674 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1874": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 229 ],
- "E": [ 199 ],
- "Q": [ 2683 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1875": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 231 ],
- "E": [ 199 ],
- "Q": [ 2699 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1876": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 201 ],
- "E": [ 82 ],
- "Q": [ 88 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1877": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 203 ],
- "E": [ 89 ],
- "Q": [ 2485 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1878": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 205 ],
- "E": [ 89 ],
- "Q": [ 2495 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1879": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 207 ],
- "E": [ 89 ],
- "Q": [ 2516 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1880": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 209 ],
- "E": [ 89 ],
- "Q": [ 2545 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1881": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 211 ],
- "E": [ 89 ],
- "Q": [ 2556 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1882": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 213 ],
- "E": [ 89 ],
- "Q": [ 2569 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1883": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 215 ],
- "E": [ 89 ],
- "Q": [ 2596 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1884": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 217 ],
- "E": [ 89 ],
- "Q": [ 2598 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1885": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 219 ],
- "E": [ 89 ],
- "Q": [ 2626 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1886": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 221 ],
- "E": [ 89 ],
- "Q": [ 2632 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1887": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 223 ],
- "E": [ 89 ],
- "Q": [ 2647 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1888": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 225 ],
- "E": [ 89 ],
- "Q": [ 2657 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1889": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 227 ],
- "E": [ 89 ],
- "Q": [ 2675 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1890": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 229 ],
- "E": [ 89 ],
- "Q": [ 2684 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1891": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 231 ],
- "E": [ 89 ],
- "Q": [ 2700 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1892": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 201 ],
- "E": [ 92 ],
- "Q": [ 94 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1893": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 203 ],
- "E": [ 95 ],
- "Q": [ 2486 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1894": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 205 ],
- "E": [ 95 ],
- "Q": [ 2502 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1895": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 207 ],
- "E": [ 95 ],
- "Q": [ 2518 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1896": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 209 ],
- "E": [ 95 ],
- "Q": [ 2532 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1897": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 211 ],
- "E": [ 95 ],
- "Q": [ 2550 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1898": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 213 ],
- "E": [ 95 ],
- "Q": [ 2581 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1899": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 215 ],
- "E": [ 95 ],
- "Q": [ 2597 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1900": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 217 ],
- "E": [ 95 ],
- "Q": [ 2601 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1901": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 219 ],
- "E": [ 95 ],
- "Q": [ 2624 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1902": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 221 ],
- "E": [ 95 ],
- "Q": [ 2633 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1903": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 223 ],
- "E": [ 95 ],
- "Q": [ 2643 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1904": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 225 ],
- "E": [ 95 ],
- "Q": [ 2661 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1905": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 227 ],
- "E": [ 95 ],
- "Q": [ 2671 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1906": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 229 ],
- "E": [ 95 ],
- "Q": [ 2938 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1907": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 231 ],
- "E": [ 95 ],
- "Q": [ 2698 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1908": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 98 ],
- "Q": [ 2470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1909": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 100 ],
- "Q": [ 2481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1910": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 102 ],
- "Q": [ 2510 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1911": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 104 ],
- "Q": [ 2526 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1912": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 208 ],
- "E": [ 106 ],
- "Q": [ 2541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1913": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 210 ],
- "E": [ 108 ],
- "Q": [ 2564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1914": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 212 ],
- "E": [ 110 ],
- "Q": [ 2577 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1915": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 112 ],
- "Q": [ 2592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1916": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 216 ],
- "E": [ 114 ],
- "Q": [ 2609 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1917": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 218 ],
- "E": [ 116 ],
- "Q": [ 2621 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1918": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 220 ],
- "E": [ 118 ],
- "Q": [ 2638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1919": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 222 ],
- "E": [ 120 ],
- "Q": [ 2653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1920": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 224 ],
- "E": [ 122 ],
- "Q": [ 2668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1921": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 226 ],
- "E": [ 124 ],
- "Q": [ 2679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1922": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 228 ],
- "E": [ 126 ],
- "Q": [ 2692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1923": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 230 ],
- "E": [ 128 ],
- "Q": [ 2705 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1924": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 79 ],
- "Q": [ 187 ],
- "R": [ 2779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1925": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 79 ],
- "Q": [ 189 ],
- "R": [ 2779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1926": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 79 ],
- "Q": [ 191 ],
- "R": [ 2779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1927": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:476|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 79 ],
- "Q": [ 193 ],
- "R": [ 2779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1930": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1198 ],
- "Q": [ 2464 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1931": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1204 ],
- "Q": [ 97 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1932": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1207 ],
- "Q": [ 2460 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1933": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1210 ],
- "Q": [ 2471 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1934": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1213 ],
- "Q": [ 142 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1935": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1216 ],
- "Q": [ 232 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1936": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1219 ],
- "Q": [ 153 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1937": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1222 ],
- "Q": [ 280 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1938": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1225 ],
- "Q": [ 195 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1939": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1228 ],
- "Q": [ 80 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1940": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1231 ],
- "Q": [ 90 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1941": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1234 ],
- "Q": [ 2708 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1942": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1237 ],
- "Q": [ 65 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1943": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1240 ],
- "Q": [ 2456 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1944": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1243 ],
- "Q": [ 84 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$1945": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:252|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1246 ],
- "Q": [ 76 ],
- "R": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2139": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3057 ],
- "Q": [ 3058 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2140": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3059 ],
- "Q": [ 3060 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2141": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3061 ],
- "Q": [ 3062 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2142": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3063 ],
- "Q": [ 3064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2143": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3065 ],
- "Q": [ 3066 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2144": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3067 ],
- "Q": [ 3057 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2145": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3068 ],
- "Q": [ 3059 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2146": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3069 ],
- "Q": [ 3061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2147": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3070 ],
- "Q": [ 3063 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2148": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3071 ],
- "Q": [ 3065 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2149": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2964 ],
- "Q": [ 3067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2150": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2966 ],
- "Q": [ 3068 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2151": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2968 ],
- "Q": [ 3069 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2152": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2970 ],
- "Q": [ 3070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2153": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2972 ],
- "Q": [ 3071 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2178": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3072 ],
- "Q": [ 3073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2179": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3074 ],
- "Q": [ 3075 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2180": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3076 ],
- "Q": [ 3077 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2181": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3078 ],
- "Q": [ 3079 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2182": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3080 ],
- "Q": [ 3081 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2183": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3082 ],
- "Q": [ 3083 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2184": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3084 ],
- "Q": [ 3085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2185": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3086 ],
- "Q": [ 3087 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2186": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3088 ],
- "Q": [ 3089 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2187": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3090 ],
- "Q": [ 3091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2188": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3092 ],
- "Q": [ 3093 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2189": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3094 ],
- "Q": [ 3095 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2190": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3096 ],
- "Q": [ 3097 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2191": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3098 ],
- "Q": [ 3099 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2192": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3100 ],
- "Q": [ 3101 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2193": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3102 ],
- "Q": [ 3103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2194": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3104 ],
- "Q": [ 3072 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2195": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3105 ],
- "Q": [ 3074 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2196": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3106 ],
- "Q": [ 3076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2197": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3107 ],
- "Q": [ 3078 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2198": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3108 ],
- "Q": [ 3080 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2199": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3109 ],
- "Q": [ 3082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2200": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3110 ],
- "Q": [ 3084 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2201": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3111 ],
- "Q": [ 3086 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2202": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3112 ],
- "Q": [ 3088 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2203": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3113 ],
- "Q": [ 3090 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2204": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3114 ],
- "Q": [ 3092 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2205": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3115 ],
- "Q": [ 3094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2206": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3116 ],
- "Q": [ 3096 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2207": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3117 ],
- "Q": [ 3098 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2208": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3118 ],
- "Q": [ 3100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2209": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3119 ],
- "Q": [ 3102 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2210": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2945 ],
- "Q": [ 3104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2211": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2340 ],
- "Q": [ 3105 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2212": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2950 ],
- "Q": [ 3106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2213": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2955 ],
- "Q": [ 3107 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2214": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2904 ],
- "Q": [ 3108 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2215": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2909 ],
- "Q": [ 3109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2216": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2381 ],
- "Q": [ 3110 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2217": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2392 ],
- "Q": [ 3111 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2218": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2959 ],
- "Q": [ 3112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2219": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2916 ],
- "Q": [ 3113 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2220": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2415 ],
- "Q": [ 3114 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2221": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2920 ],
- "Q": [ 3115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2222": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2924 ],
- "Q": [ 3116 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2223": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2929 ],
- "Q": [ 3117 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2224": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2934 ],
- "Q": [ 3118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2225": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2963 ],
- "Q": [ 3119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2250": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3120 ],
- "Q": [ 3121 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2251": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3122 ],
- "Q": [ 3123 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2252": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3124 ],
- "Q": [ 3125 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3126 ],
- "Q": [ 3127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3128 ],
- "Q": [ 3129 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2255": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3130 ],
- "Q": [ 3131 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3132 ],
- "Q": [ 3133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3134 ],
- "Q": [ 3135 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2258": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3136 ],
- "Q": [ 3137 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2259": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3138 ],
- "Q": [ 3139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2260": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3140 ],
- "Q": [ 3141 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2261": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3142 ],
- "Q": [ 2725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2262": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3143 ],
- "Q": [ 3144 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2263": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3145 ],
- "Q": [ 3120 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2264": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3146 ],
- "Q": [ 3122 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2265": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3147 ],
- "Q": [ 3124 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2266": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3148 ],
- "Q": [ 3126 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2267": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3149 ],
- "Q": [ 3128 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2268": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3150 ],
- "Q": [ 3130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2269": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3151 ],
- "Q": [ 3132 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2270": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3152 ],
- "Q": [ 3134 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2271": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3153 ],
- "Q": [ 3136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2272": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3154 ],
- "Q": [ 3138 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2273": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3155 ],
- "Q": [ 3140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2274": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3156 ],
- "Q": [ 3142 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2275": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3157 ],
- "Q": [ 3143 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2276": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2240 ],
- "Q": [ 3145 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2277": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2253 ],
- "Q": [ 3146 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2278": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2255 ],
- "Q": [ 3147 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2279": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2257 ],
- "Q": [ 3148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2280": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2259 ],
- "Q": [ 3149 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2281": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2261 ],
- "Q": [ 3150 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2282": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2263 ],
- "Q": [ 3151 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2283": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2265 ],
- "Q": [ 3152 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2284": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2268 ],
- "Q": [ 3153 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2285": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2271 ],
- "Q": [ 3154 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2286": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2274 ],
- "Q": [ 3155 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2287": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2277 ],
- "Q": [ 3156 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2288": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:160|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2280 ],
- "Q": [ 3157 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2337": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2726 ],
- "Q": [ 423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2338": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2729 ],
- "Q": [ 428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2339": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2732 ],
- "Q": [ 1253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2340": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2735 ],
- "Q": [ 1257 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2341": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2738 ],
- "Q": [ 1203 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2342": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2741 ],
- "Q": [ 54 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2343": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2744 ],
- "Q": [ 55 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2344": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2747 ],
- "Q": [ 2251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2345": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2750 ],
- "Q": [ 2266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2346": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2753 ],
- "Q": [ 2269 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2347": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2756 ],
- "Q": [ 2272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2348": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2759 ],
- "Q": [ 2275 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2349": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2762 ],
- "Q": [ 2278 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2350": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2765 ],
- "Q": [ 62 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2351": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2768 ],
- "Q": [ 63 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2352": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:51|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2771 ],
- "Q": [ 60 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2353": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3158 ],
- "Q": [ 2294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2354": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3159 ],
- "Q": [ 2350 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2355": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3160 ],
- "Q": [ 2948 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2356": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3161 ],
- "Q": [ 2953 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2357": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3162 ],
- "Q": [ 2368 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2358": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3163 ],
- "Q": [ 2373 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2359": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3164 ],
- "Q": [ 2387 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2360": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3165 ],
- "Q": [ 2395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2361": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3166 ],
- "Q": [ 2402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2362": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3167 ],
- "Q": [ 2913 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2363": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3168 ],
- "Q": [ 2419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2364": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3169 ],
- "Q": [ 2423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2365": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3170 ],
- "Q": [ 2430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2366": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3171 ],
- "Q": [ 2440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2367": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3172 ],
- "Q": [ 2931 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2368": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3173 ],
- "Q": [ 2961 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2369": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3174 ],
- "Q": [ 3158 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2370": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3175 ],
- "Q": [ 3159 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2371": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3176 ],
- "Q": [ 3160 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2372": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3177 ],
- "Q": [ 3161 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2373": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3178 ],
- "Q": [ 3162 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2374": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3179 ],
- "Q": [ 3163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2375": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3180 ],
- "Q": [ 3164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2376": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3181 ],
- "Q": [ 3165 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2377": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3182 ],
- "Q": [ 3166 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2378": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3183 ],
- "Q": [ 3167 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2379": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3184 ],
- "Q": [ 3168 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2380": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3185 ],
- "Q": [ 3169 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3186 ],
- "Q": [ 3170 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2382": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3187 ],
- "Q": [ 3171 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2383": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3188 ],
- "Q": [ 3172 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2384": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3189 ],
- "Q": [ 3173 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2385": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2455 ],
- "Q": [ 3174 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2475 ],
- "Q": [ 3175 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2387": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2494 ],
- "Q": [ 3176 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2388": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2515 ],
- "Q": [ 3177 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2389": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2531 ],
- "Q": [ 3178 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2390": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2549 ],
- "Q": [ 3179 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2391": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2568 ],
- "Q": [ 3180 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2392": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2583 ],
- "Q": [ 3181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2393": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2600 ],
- "Q": [ 3182 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2394": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2615 ],
- "Q": [ 3183 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2628 ],
- "Q": [ 3184 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2396": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2642 ],
- "Q": [ 3185 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2397": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2656 ],
- "Q": [ 3186 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2398": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2670 ],
- "Q": [ 3187 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2399": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2941 ],
- "Q": [ 3188 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2400": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:58|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2697 ],
- "Q": [ 3189 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2504": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3190 ],
- "E": [ 3191 ],
- "Q": [ 2472 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2505": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3192 ],
- "E": [ 3191 ],
- "Q": [ 2490 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2506": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3193 ],
- "E": [ 3191 ],
- "Q": [ 2507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2507": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3194 ],
- "E": [ 3191 ],
- "Q": [ 2527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2508": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3195 ],
- "E": [ 3191 ],
- "Q": [ 2539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2509": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3196 ],
- "E": [ 3191 ],
- "Q": [ 2555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2510": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3197 ],
- "E": [ 3191 ],
- "Q": [ 2576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2511": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3198 ],
- "E": [ 3191 ],
- "Q": [ 2587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2512": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3199 ],
- "E": [ 3191 ],
- "Q": [ 2608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2513": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3200 ],
- "E": [ 3191 ],
- "Q": [ 2618 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2514": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3201 ],
- "E": [ 3191 ],
- "Q": [ 2639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2515": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3202 ],
- "E": [ 3191 ],
- "Q": [ 2651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2516": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3203 ],
- "E": [ 3191 ],
- "Q": [ 2665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2517": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3204 ],
- "E": [ 3191 ],
- "Q": [ 2680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2518": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3205 ],
- "E": [ 3191 ],
- "Q": [ 2686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2519": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3206 ],
- "E": [ 3191 ],
- "Q": [ 2707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2520": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3207 ],
- "Q": [ 3208 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2521": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3208 ],
- "Q": [ 3209 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2522": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3209 ],
- "Q": [ 3191 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2523": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3210 ],
- "Q": [ 3211 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2524": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3212 ],
- "Q": [ 3213 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2525": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3214 ],
- "Q": [ 3215 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2526": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3216 ],
- "Q": [ 3217 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2527": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3218 ],
- "Q": [ 3219 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2528": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3220 ],
- "Q": [ 3221 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2529": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3222 ],
- "Q": [ 3223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2530": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3224 ],
- "Q": [ 3225 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2531": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3226 ],
- "Q": [ 3227 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2532": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3228 ],
- "Q": [ 3229 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2533": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3230 ],
- "Q": [ 3231 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2534": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3232 ],
- "Q": [ 3233 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2535": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3234 ],
- "Q": [ 3235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2536": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3236 ],
- "Q": [ 3237 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2537": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3238 ],
- "Q": [ 3239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2538": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3240 ],
- "Q": [ 3241 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3211 ],
- "Q": [ 3190 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2540": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3213 ],
- "Q": [ 3192 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2541": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3215 ],
- "Q": [ 3193 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3217 ],
- "Q": [ 3194 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3219 ],
- "Q": [ 3195 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3221 ],
- "Q": [ 3196 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3223 ],
- "Q": [ 3197 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3225 ],
- "Q": [ 3198 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3227 ],
- "Q": [ 3199 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3229 ],
- "Q": [ 3200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3231 ],
- "Q": [ 3201 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3233 ],
- "Q": [ 3202 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3235 ],
- "Q": [ 3203 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2552": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3237 ],
- "Q": [ 3204 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2553": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3239 ],
- "Q": [ 3205 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2554": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:54|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3241 ],
- "Q": [ 3206 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2555": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3036 ],
- "Q": [ 129 ],
- "R": [ 2781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2556": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 2804 ],
- "E": [ 131 ],
- "Q": [ 132 ],
- "R": [ 2781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2557": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3038 ],
- "Q": [ 133 ],
- "R": [ 2781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2558": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3040 ],
- "Q": [ 134 ],
- "R": [ 2781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2559": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3243 ],
- "E": [ 130 ],
- "Q": [ 3244 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2560": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3244 ],
- "E": [ 130 ],
- "Q": [ 3245 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2561": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3245 ],
- "E": [ 130 ],
- "Q": [ 3246 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2562": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3246 ],
- "E": [ 130 ],
- "Q": [ 3247 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2563": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3247 ],
- "E": [ 130 ],
- "Q": [ 3248 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2564": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3248 ],
- "E": [ 130 ],
- "Q": [ 3249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2565": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3249 ],
- "E": [ 130 ],
- "Q": [ 3250 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2566": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3250 ],
- "E": [ 130 ],
- "Q": [ 3251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2567": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3251 ],
- "E": [ 130 ],
- "Q": [ 3252 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2568": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3252 ],
- "E": [ 130 ],
- "Q": [ 3253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2569": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3253 ],
- "E": [ 130 ],
- "Q": [ 3254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2570": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3254 ],
- "E": [ 130 ],
- "Q": [ 3255 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2571": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3255 ],
- "E": [ 130 ],
- "Q": [ 3256 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2572": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3256 ],
- "E": [ 130 ],
- "Q": [ 3257 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2573": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3257 ],
- "E": [ 130 ],
- "Q": [ 3258 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2574": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3258 ],
- "E": [ 130 ],
- "Q": [ 3259 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2575": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3244 ],
- "E": [ 137 ],
- "Q": [ 3210 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2576": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3245 ],
- "E": [ 137 ],
- "Q": [ 3212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2577": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3246 ],
- "E": [ 137 ],
- "Q": [ 3214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2578": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3247 ],
- "E": [ 137 ],
- "Q": [ 3216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2579": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3248 ],
- "E": [ 137 ],
- "Q": [ 3218 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2580": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3249 ],
- "E": [ 137 ],
- "Q": [ 3220 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2581": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3250 ],
- "E": [ 137 ],
- "Q": [ 3222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2582": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3251 ],
- "E": [ 137 ],
- "Q": [ 3224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2583": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3252 ],
- "E": [ 137 ],
- "Q": [ 3226 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2584": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3253 ],
- "E": [ 137 ],
- "Q": [ 3228 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2585": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3254 ],
- "E": [ 137 ],
- "Q": [ 3230 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2586": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3255 ],
- "E": [ 137 ],
- "Q": [ 3232 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2587": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3256 ],
- "E": [ 137 ],
- "Q": [ 3234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2588": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3257 ],
- "E": [ 137 ],
- "Q": [ 3236 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2589": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3258 ],
- "E": [ 137 ],
- "Q": [ 3238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2590": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 3259 ],
- "E": [ 137 ],
- "Q": [ 3240 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 130 ],
- "Q": [ 136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2592": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:29|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3242 ],
- "D": [ 135 ],
- "Q": [ 3207 ],
- "R": [ 2780 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2737": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 233 ],
- "E": [ 141 ],
- "Q": [ 250 ],
- "R": [ 140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2738": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 251 ],
- "E": [ 141 ],
- "Q": [ 252 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2739": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 253 ],
- "E": [ 141 ],
- "Q": [ 254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2740": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 255 ],
- "E": [ 141 ],
- "Q": [ 256 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2741": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 257 ],
- "E": [ 141 ],
- "Q": [ 258 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2742": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 259 ],
- "E": [ 141 ],
- "Q": [ 260 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2743": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 261 ],
- "E": [ 141 ],
- "Q": [ 262 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2744": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 263 ],
- "E": [ 141 ],
- "Q": [ 264 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2745": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 265 ],
- "E": [ 141 ],
- "Q": [ 266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2746": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 267 ],
- "E": [ 141 ],
- "Q": [ 268 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2747": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 269 ],
- "E": [ 141 ],
- "Q": [ 270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2748": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 271 ],
- "E": [ 141 ],
- "Q": [ 272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2749": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 273 ],
- "E": [ 141 ],
- "Q": [ 274 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2750": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 275 ],
- "E": [ 141 ],
- "Q": [ 276 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2751": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 277 ],
- "E": [ 141 ],
- "Q": [ 278 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2752": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 279 ],
- "E": [ 141 ],
- "Q": [ 3260 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2753": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 232 ],
- "E": [ 141 ],
- "Q": [ 234 ],
- "R": [ 140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2754": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 235 ],
- "E": [ 141 ],
- "Q": [ 236 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2755": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 237 ],
- "E": [ 141 ],
- "Q": [ 238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2756": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 239 ],
- "E": [ 141 ],
- "Q": [ 240 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2757": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 241 ],
- "E": [ 141 ],
- "Q": [ 242 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2758": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 243 ],
- "E": [ 141 ],
- "Q": [ 244 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2759": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 245 ],
- "E": [ 141 ],
- "Q": [ 246 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2760": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 247 ],
- "E": [ 141 ],
- "Q": [ 3261 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2761": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3261 ],
- "E": [ 141 ],
- "Q": [ 3262 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2762": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3262 ],
- "E": [ 141 ],
- "Q": [ 3263 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2763": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3263 ],
- "E": [ 141 ],
- "Q": [ 3264 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2764": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3264 ],
- "E": [ 141 ],
- "Q": [ 3265 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2765": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3265 ],
- "E": [ 141 ],
- "Q": [ 3266 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2766": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3266 ],
- "E": [ 141 ],
- "Q": [ 3267 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2767": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3267 ],
- "E": [ 141 ],
- "Q": [ 248 ],
- "S": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2768": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 249 ],
- "Q": [ 140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2769": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2807 ],
- "E": [ 145 ],
- "Q": [ 144 ],
- "R": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2770": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:43|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2784 ],
- "Q": [ 143 ],
- "R": [ 2782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2771": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 140 ],
- "Q": [ 146 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2772": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3268 ],
- "E": [ 147 ],
- "Q": [ 2607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2773": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2607 ],
- "E": [ 147 ],
- "Q": [ 2623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2774": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2623 ],
- "E": [ 147 ],
- "Q": [ 2640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2775": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2640 ],
- "E": [ 147 ],
- "Q": [ 2652 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2776": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2652 ],
- "E": [ 147 ],
- "Q": [ 2667 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2777": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2667 ],
- "E": [ 147 ],
- "Q": [ 2682 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2778": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2682 ],
- "E": [ 147 ],
- "Q": [ 2690 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2779": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2690 ],
- "E": [ 147 ],
- "Q": [ 2704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2780": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2704 ],
- "E": [ 147 ],
- "Q": [ 2469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2781": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2469 ],
- "E": [ 147 ],
- "Q": [ 2484 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2782": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2484 ],
- "E": [ 147 ],
- "Q": [ 2511 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2783": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2511 ],
- "E": [ 147 ],
- "Q": [ 2524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2784": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2524 ],
- "E": [ 147 ],
- "Q": [ 2540 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2785": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2540 ],
- "E": [ 147 ],
- "Q": [ 2563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2786": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2563 ],
- "E": [ 147 ],
- "Q": [ 2575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2787": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:33|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2575 ],
- "E": [ 147 ],
- "Q": [ 2593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2788": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 185 ],
- "Q": [ 86 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2789": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 186 ],
- "Q": [ 87 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2940": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 281 ],
- "E": [ 152 ],
- "Q": [ 298 ],
- "R": [ 148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2941": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 299 ],
- "E": [ 152 ],
- "Q": [ 300 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2942": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 301 ],
- "E": [ 152 ],
- "Q": [ 302 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2943": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 303 ],
- "E": [ 152 ],
- "Q": [ 304 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2944": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 305 ],
- "E": [ 152 ],
- "Q": [ 306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2945": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 307 ],
- "E": [ 152 ],
- "Q": [ 308 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2946": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 309 ],
- "E": [ 152 ],
- "Q": [ 310 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2947": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 311 ],
- "E": [ 152 ],
- "Q": [ 312 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2948": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 314 ],
- "E": [ 152 ],
- "Q": [ 315 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2949": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 317 ],
- "E": [ 152 ],
- "Q": [ 318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2950": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 320 ],
- "E": [ 152 ],
- "Q": [ 321 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2951": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 323 ],
- "E": [ 152 ],
- "Q": [ 324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2952": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 326 ],
- "E": [ 152 ],
- "Q": [ 327 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2953": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 329 ],
- "E": [ 152 ],
- "Q": [ 330 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2954": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 332 ],
- "E": [ 152 ],
- "Q": [ 333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2955": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 335 ],
- "E": [ 152 ],
- "Q": [ 3269 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2956": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 280 ],
- "E": [ 152 ],
- "Q": [ 282 ],
- "R": [ 148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2957": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 283 ],
- "E": [ 152 ],
- "Q": [ 284 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2958": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 285 ],
- "E": [ 152 ],
- "Q": [ 286 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2959": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 287 ],
- "E": [ 152 ],
- "Q": [ 288 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2960": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 289 ],
- "E": [ 152 ],
- "Q": [ 290 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2961": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 291 ],
- "E": [ 152 ],
- "Q": [ 292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2962": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 293 ],
- "E": [ 152 ],
- "Q": [ 294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2963": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 295 ],
- "E": [ 152 ],
- "Q": [ 3270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2964": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3270 ],
- "E": [ 152 ],
- "Q": [ 3271 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2965": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3271 ],
- "E": [ 152 ],
- "Q": [ 3272 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2966": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3272 ],
- "E": [ 152 ],
- "Q": [ 3273 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2967": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3273 ],
- "E": [ 152 ],
- "Q": [ 3274 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2968": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3274 ],
- "E": [ 152 ],
- "Q": [ 3275 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2969": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3275 ],
- "E": [ 152 ],
- "Q": [ 3276 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2970": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3276 ],
- "E": [ 152 ],
- "Q": [ 296 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2971": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 297 ],
- "Q": [ 148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2972": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3277 ],
- "E": [ 150 ],
- "Q": [ 2465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2973": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2465 ],
- "E": [ 150 ],
- "Q": [ 2478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2974": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2478 ],
- "E": [ 150 ],
- "Q": [ 2509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2975": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2509 ],
- "E": [ 150 ],
- "Q": [ 2523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2976": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2523 ],
- "E": [ 150 ],
- "Q": [ 2538 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2977": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2538 ],
- "E": [ 150 ],
- "Q": [ 2553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2978": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2553 ],
- "E": [ 150 ],
- "Q": [ 2578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2979": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2578 ],
- "E": [ 150 ],
- "Q": [ 2595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2980": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2595 ],
- "E": [ 150 ],
- "Q": [ 2610 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2981": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2610 ],
- "E": [ 150 ],
- "Q": [ 2622 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2982": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2622 ],
- "E": [ 150 ],
- "Q": [ 2637 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2983": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2637 ],
- "E": [ 150 ],
- "Q": [ 2654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2984": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2654 ],
- "E": [ 150 ],
- "Q": [ 2666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2985": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2666 ],
- "E": [ 150 ],
- "Q": [ 2681 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2986": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2681 ],
- "E": [ 150 ],
- "Q": [ 2691 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2987": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2691 ],
- "E": [ 150 ],
- "Q": [ 2706 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2988": {
- "hide_name": 1,
- "type": "SB_DFFSS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:31|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2785 ],
- "Q": [ 149 ],
- "S": [ 2783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3006": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3278 ],
- "E": [ 155 ],
- "Q": [ 3279 ],
- "R": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3007": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 401 ],
- "E": [ 155 ],
- "Q": [ 3278 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3008": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 403 ],
- "E": [ 155 ],
- "Q": [ 400 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3009": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 405 ],
- "E": [ 155 ],
- "Q": [ 402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3010": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 407 ],
- "E": [ 155 ],
- "Q": [ 404 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3011": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 409 ],
- "E": [ 155 ],
- "Q": [ 406 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3012": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 411 ],
- "E": [ 155 ],
- "Q": [ 408 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3013": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 413 ],
- "E": [ 155 ],
- "Q": [ 410 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3014": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 155 ],
- "Q": [ 412 ],
- "S": [ 2808 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3015": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3048 ],
- "E": [ 155 ],
- "Q": [ 159 ],
- "R": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3016": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2803 ],
- "E": [ 160 ],
- "Q": [ 156 ],
- "S": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3017": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3050 ],
- "E": [ 155 ],
- "Q": [ 158 ],
- "R": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3018": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3052 ],
- "E": [ 155 ],
- "Q": [ 157 ],
- "S": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3044": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:80|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3279 ],
- "E": [ 155 ],
- "Q": [ 19 ],
- "S": [ 66 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3702": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2487 ],
- "E": [ 2716 ],
- "Q": [ 2458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3703": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2508 ],
- "E": [ 2716 ],
- "Q": [ 2487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3704": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2528 ],
- "E": [ 2716 ],
- "Q": [ 2508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3705": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2542 ],
- "E": [ 2716 ],
- "Q": [ 2528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3706": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2557 ],
- "E": [ 2716 ],
- "Q": [ 2542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3707": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2579 ],
- "E": [ 2716 ],
- "Q": [ 2557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3708": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2594 ],
- "E": [ 2716 ],
- "Q": [ 2579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3709": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2777 ],
- "E": [ 2716 ],
- "Q": [ 2594 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3710": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2776 ],
- "Q": [ 163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3711": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 163 ],
- "Q": [ 161 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3713": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 415 ],
- "E": [ 173 ],
- "Q": [ 166 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3714": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 416 ],
- "E": [ 183 ],
- "Q": [ 169 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3715": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 418 ],
- "E": [ 173 ],
- "Q": [ 167 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3716": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 420 ],
- "E": [ 173 ],
- "Q": [ 168 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3717": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:146|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 422 ],
- "E": [ 173 ],
- "Q": [ 170 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3795": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 432 ],
- "Q": [ 3280 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3796": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 437 ],
- "Q": [ 3281 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3797": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 442 ],
- "Q": [ 3282 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3798": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 447 ],
- "Q": [ 3283 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3799": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 452 ],
- "Q": [ 3284 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3800": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 457 ],
- "Q": [ 3285 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3801": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 462 ],
- "Q": [ 3286 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3802": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 467 ],
- "Q": [ 3287 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3803": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 472 ],
- "Q": [ 3288 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3804": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 477 ],
- "Q": [ 3289 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3805": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 482 ],
- "Q": [ 3290 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3806": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 487 ],
- "Q": [ 3291 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3807": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 492 ],
- "Q": [ 3292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3808": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 497 ],
- "Q": [ 3293 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3809": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 502 ],
- "Q": [ 3294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3810": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 507 ],
- "Q": [ 3295 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3811": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 511 ],
- "Q": [ 3296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3812": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 514 ],
- "Q": [ 3297 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3813": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 517 ],
- "Q": [ 3298 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3814": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 520 ],
- "Q": [ 3299 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3815": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 523 ],
- "Q": [ 3300 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3816": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 526 ],
- "Q": [ 3301 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3817": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 529 ],
- "Q": [ 3302 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3818": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 532 ],
- "Q": [ 3303 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3819": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 535 ],
- "Q": [ 3304 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3820": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 538 ],
- "Q": [ 3305 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3821": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 541 ],
- "Q": [ 3306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3822": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 544 ],
- "Q": [ 3307 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3823": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 547 ],
- "Q": [ 3308 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3824": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 550 ],
- "Q": [ 3309 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3825": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 553 ],
- "Q": [ 3310 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3826": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 556 ],
- "Q": [ 3311 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3827": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 559 ],
- "Q": [ 3312 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3828": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 562 ],
- "Q": [ 3313 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3829": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 565 ],
- "Q": [ 3314 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3830": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 568 ],
- "Q": [ 3315 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3831": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 571 ],
- "Q": [ 3316 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3832": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 574 ],
- "Q": [ 3317 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3833": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 577 ],
- "Q": [ 3318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3834": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 580 ],
- "Q": [ 3319 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3835": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 583 ],
- "Q": [ 3320 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3836": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 586 ],
- "Q": [ 3321 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3837": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 589 ],
- "Q": [ 3322 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3838": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 592 ],
- "Q": [ 3323 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3839": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 595 ],
- "Q": [ 3324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3840": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 598 ],
- "Q": [ 3325 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3841": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 601 ],
- "Q": [ 3326 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3842": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 604 ],
- "Q": [ 3327 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 607 ],
- "Q": [ 3328 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3844": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 610 ],
- "Q": [ 3329 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 613 ],
- "Q": [ 3330 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3846": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 616 ],
- "Q": [ 3331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3847": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 619 ],
- "Q": [ 3332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3848": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 622 ],
- "Q": [ 3333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3849": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 625 ],
- "Q": [ 3334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3850": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 628 ],
- "Q": [ 3335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3851": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 631 ],
- "Q": [ 3336 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3852": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 634 ],
- "Q": [ 3337 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3853": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 637 ],
- "Q": [ 3338 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3854": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 640 ],
- "Q": [ 3339 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3855": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 643 ],
- "Q": [ 3340 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3856": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 646 ],
- "Q": [ 3341 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3857": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 649 ],
- "Q": [ 3342 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3858": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 652 ],
- "Q": [ 3343 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3859": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 655 ],
- "Q": [ 3344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3860": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 658 ],
- "Q": [ 3345 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3861": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 661 ],
- "Q": [ 3346 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3862": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 664 ],
- "Q": [ 3347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3863": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 667 ],
- "Q": [ 3348 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3864": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 670 ],
- "Q": [ 3349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3865": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 673 ],
- "Q": [ 3350 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3866": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 676 ],
- "Q": [ 3351 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3867": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 679 ],
- "Q": [ 3352 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3868": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 682 ],
- "Q": [ 3353 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3869": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 685 ],
- "Q": [ 3354 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3870": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 688 ],
- "Q": [ 3355 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3871": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 691 ],
- "Q": [ 3356 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3872": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 694 ],
- "Q": [ 3357 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3873": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 697 ],
- "Q": [ 3358 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3874": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 700 ],
- "Q": [ 3359 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3875": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 703 ],
- "Q": [ 3360 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3876": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 706 ],
- "Q": [ 3361 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3877": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 709 ],
- "Q": [ 3362 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3878": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 712 ],
- "Q": [ 3363 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3879": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 715 ],
- "Q": [ 3364 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3880": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 718 ],
- "Q": [ 3365 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3881": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 721 ],
- "Q": [ 3366 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3882": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 724 ],
- "Q": [ 3367 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3883": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 727 ],
- "Q": [ 3368 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3884": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 730 ],
- "Q": [ 3369 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3885": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 733 ],
- "Q": [ 3370 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3886": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 736 ],
- "Q": [ 3371 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3887": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 739 ],
- "Q": [ 3372 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3888": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 742 ],
- "Q": [ 3373 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3889": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 745 ],
- "Q": [ 3374 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3890": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 748 ],
- "Q": [ 3375 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3891": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 751 ],
- "Q": [ 3376 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3892": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 754 ],
- "Q": [ 3377 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 757 ],
- "Q": [ 3378 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 760 ],
- "Q": [ 3379 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 763 ],
- "Q": [ 3380 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 766 ],
- "Q": [ 3381 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 769 ],
- "Q": [ 3382 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 772 ],
- "Q": [ 3383 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 775 ],
- "Q": [ 3384 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 778 ],
- "Q": [ 3385 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 781 ],
- "Q": [ 3386 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 784 ],
- "Q": [ 3387 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3903": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 787 ],
- "Q": [ 3388 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3904": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 790 ],
- "Q": [ 3389 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3905": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 793 ],
- "Q": [ 3390 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3906": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 796 ],
- "Q": [ 3391 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3907": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 799 ],
- "Q": [ 3392 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3908": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 802 ],
- "Q": [ 3393 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3909": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 805 ],
- "Q": [ 3394 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3910": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 808 ],
- "Q": [ 3395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3911": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 811 ],
- "Q": [ 3396 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3912": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 814 ],
- "Q": [ 3397 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3913": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 817 ],
- "Q": [ 3398 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3914": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 820 ],
- "Q": [ 3399 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3915": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 823 ],
- "Q": [ 3400 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3916": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 826 ],
- "Q": [ 3401 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3917": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 829 ],
- "Q": [ 3402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3918": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 832 ],
- "Q": [ 3403 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3919": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 835 ],
- "Q": [ 3404 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3920": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 838 ],
- "Q": [ 3405 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3921": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 841 ],
- "Q": [ 3406 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3922": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 844 ],
- "Q": [ 3407 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3923": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 847 ],
- "Q": [ 3408 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3924": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 850 ],
- "Q": [ 3409 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3925": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 853 ],
- "Q": [ 3410 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3926": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 856 ],
- "Q": [ 3411 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3927": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 859 ],
- "Q": [ 3412 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3928": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 862 ],
- "Q": [ 3413 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3929": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 865 ],
- "Q": [ 3414 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3930": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 868 ],
- "Q": [ 3415 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3931": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 871 ],
- "Q": [ 3416 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3932": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 874 ],
- "Q": [ 3417 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3933": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 877 ],
- "Q": [ 3418 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3934": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 880 ],
- "Q": [ 3419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3935": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 883 ],
- "Q": [ 3420 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3936": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 886 ],
- "Q": [ 3421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3937": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 889 ],
- "Q": [ 3422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3938": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 892 ],
- "Q": [ 3423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3939": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 895 ],
- "Q": [ 3424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3940": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 898 ],
- "Q": [ 3425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3941": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 901 ],
- "Q": [ 3426 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3942": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 904 ],
- "Q": [ 3427 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3943": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 907 ],
- "Q": [ 3428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3944": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 910 ],
- "Q": [ 3429 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3945": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 913 ],
- "Q": [ 3430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3946": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 916 ],
- "Q": [ 3431 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3947": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 919 ],
- "Q": [ 3432 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3948": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 922 ],
- "Q": [ 3433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3949": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 925 ],
- "Q": [ 3434 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3950": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 928 ],
- "Q": [ 3435 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3951": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 931 ],
- "Q": [ 3436 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3952": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 934 ],
- "Q": [ 3437 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3953": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 937 ],
- "Q": [ 3438 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3954": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 940 ],
- "Q": [ 3439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3955": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 943 ],
- "Q": [ 3440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3956": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 946 ],
- "Q": [ 3441 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3957": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 949 ],
- "Q": [ 3442 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3958": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 952 ],
- "Q": [ 3443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3959": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 955 ],
- "Q": [ 3444 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3960": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 958 ],
- "Q": [ 3445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3961": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 961 ],
- "Q": [ 3446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3962": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 964 ],
- "Q": [ 3447 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3963": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 967 ],
- "Q": [ 3448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3964": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 970 ],
- "Q": [ 3449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3965": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 973 ],
- "Q": [ 3450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3966": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 976 ],
- "Q": [ 3451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3967": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 979 ],
- "Q": [ 3452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3968": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 982 ],
- "Q": [ 3453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3969": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 985 ],
- "Q": [ 3454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3970": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 988 ],
- "Q": [ 3455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3971": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 991 ],
- "Q": [ 3456 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3972": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 994 ],
- "Q": [ 3457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3973": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 997 ],
- "Q": [ 3458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3974": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1000 ],
- "Q": [ 3459 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3975": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1003 ],
- "Q": [ 3460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3976": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1006 ],
- "Q": [ 3461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3977": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1009 ],
- "Q": [ 3462 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3978": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1012 ],
- "Q": [ 3463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3979": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1015 ],
- "Q": [ 3464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3980": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1018 ],
- "Q": [ 3465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3981": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1021 ],
- "Q": [ 3466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3982": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1024 ],
- "Q": [ 3467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3983": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1027 ],
- "Q": [ 3468 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3984": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1030 ],
- "Q": [ 3469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3985": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1033 ],
- "Q": [ 3470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3986": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1036 ],
- "Q": [ 3471 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3987": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1039 ],
- "Q": [ 3472 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3988": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1042 ],
- "Q": [ 3473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3989": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1045 ],
- "Q": [ 3474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3990": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1048 ],
- "Q": [ 3475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3991": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1051 ],
- "Q": [ 3476 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3992": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1054 ],
- "Q": [ 3477 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3993": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1057 ],
- "Q": [ 3478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3994": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1060 ],
- "Q": [ 3479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3995": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1063 ],
- "Q": [ 3480 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3996": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1066 ],
- "Q": [ 3481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3997": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1069 ],
- "Q": [ 3482 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3998": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1072 ],
- "Q": [ 3483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3999": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1075 ],
- "Q": [ 3484 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4000": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1078 ],
- "Q": [ 3485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4001": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1081 ],
- "Q": [ 3486 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4002": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1084 ],
- "Q": [ 3487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4003": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1087 ],
- "Q": [ 3488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4004": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1090 ],
- "Q": [ 3489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4005": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1093 ],
- "Q": [ 3490 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4006": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1096 ],
- "Q": [ 3491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4007": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1099 ],
- "Q": [ 3492 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4008": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1102 ],
- "Q": [ 3493 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4009": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1105 ],
- "Q": [ 3494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4010": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1108 ],
- "Q": [ 3495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4011": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1111 ],
- "Q": [ 3496 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4012": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1114 ],
- "Q": [ 3497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4013": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1117 ],
- "Q": [ 3498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4014": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1120 ],
- "Q": [ 3499 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4015": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1123 ],
- "Q": [ 3500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4016": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1126 ],
- "Q": [ 3501 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4017": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1129 ],
- "Q": [ 3502 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4018": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1132 ],
- "Q": [ 3503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4019": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1135 ],
- "Q": [ 3504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4020": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1138 ],
- "Q": [ 3505 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4021": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1141 ],
- "Q": [ 3506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4022": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1144 ],
- "Q": [ 3507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4023": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1147 ],
- "Q": [ 3508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4024": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1150 ],
- "Q": [ 3509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4025": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1153 ],
- "Q": [ 3510 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4026": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1156 ],
- "Q": [ 3511 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4027": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1159 ],
- "Q": [ 3512 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4028": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1162 ],
- "Q": [ 3513 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4029": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1165 ],
- "Q": [ 3514 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4030": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1168 ],
- "Q": [ 3515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4031": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1171 ],
- "Q": [ 3516 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4032": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1174 ],
- "Q": [ 3517 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4033": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1177 ],
- "Q": [ 3518 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4034": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1180 ],
- "Q": [ 3519 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4035": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1182 ],
- "Q": [ 3520 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4036": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1183 ],
- "Q": [ 3521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4037": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1184 ],
- "Q": [ 3522 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4038": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1185 ],
- "Q": [ 3523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4039": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1186 ],
- "Q": [ 3524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4040": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1187 ],
- "Q": [ 3525 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4041": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1188 ],
- "Q": [ 3526 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4042": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1189 ],
- "Q": [ 3527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4043": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1190 ],
- "Q": [ 3528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4044": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1191 ],
- "Q": [ 3529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4045": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1192 ],
- "Q": [ 3530 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4046": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1193 ],
- "Q": [ 3531 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4047": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1194 ],
- "Q": [ 3532 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4048": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1195 ],
- "Q": [ 3533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4049": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1196 ],
- "Q": [ 3534 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4050": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1197 ],
- "Q": [ 3535 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4051": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3536 ],
- "Q": [ 433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4052": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3537 ],
- "Q": [ 438 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4053": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3538 ],
- "Q": [ 443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4054": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3539 ],
- "Q": [ 448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4055": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3540 ],
- "Q": [ 453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4056": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3541 ],
- "Q": [ 458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4057": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3542 ],
- "Q": [ 463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4058": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3543 ],
- "Q": [ 468 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4059": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3544 ],
- "Q": [ 473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4060": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3545 ],
- "Q": [ 478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4061": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3546 ],
- "Q": [ 483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4062": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3547 ],
- "Q": [ 488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4063": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3548 ],
- "Q": [ 493 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4064": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3549 ],
- "Q": [ 498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4065": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3550 ],
- "Q": [ 503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4066": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3551 ],
- "Q": [ 508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4067": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3552 ],
- "Q": [ 430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4068": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3553 ],
- "Q": [ 435 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4069": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3554 ],
- "Q": [ 440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4070": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3555 ],
- "Q": [ 445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4071": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3556 ],
- "Q": [ 450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4072": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3557 ],
- "Q": [ 455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4073": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3558 ],
- "Q": [ 460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4074": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3559 ],
- "Q": [ 465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4075": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3560 ],
- "Q": [ 470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4076": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3561 ],
- "Q": [ 475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4077": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3562 ],
- "Q": [ 480 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4078": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3563 ],
- "Q": [ 485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4079": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3564 ],
- "Q": [ 490 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4080": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3565 ],
- "Q": [ 495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4081": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3566 ],
- "Q": [ 500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4082": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3567 ],
- "Q": [ 505 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4083": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3568 ],
- "Q": [ 434 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4084": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3569 ],
- "Q": [ 439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4085": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3570 ],
- "Q": [ 444 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4086": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3571 ],
- "Q": [ 449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4087": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3572 ],
- "Q": [ 454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4088": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3573 ],
- "Q": [ 459 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4089": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3574 ],
- "Q": [ 464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4090": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3575 ],
- "Q": [ 469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4091": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3576 ],
- "Q": [ 474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4092": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3577 ],
- "Q": [ 479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4093": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3578 ],
- "Q": [ 484 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4094": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3579 ],
- "Q": [ 489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4095": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3580 ],
- "Q": [ 494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4096": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3581 ],
- "Q": [ 499 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4097": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3582 ],
- "Q": [ 504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4098": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3583 ],
- "Q": [ 509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4099": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3584 ],
- "Q": [ 512 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4100": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3585 ],
- "Q": [ 515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4101": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3586 ],
- "Q": [ 518 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4102": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3587 ],
- "Q": [ 521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4103": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3588 ],
- "Q": [ 524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4104": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3589 ],
- "Q": [ 527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4105": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3590 ],
- "Q": [ 530 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4106": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3591 ],
- "Q": [ 533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4107": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3592 ],
- "Q": [ 536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4108": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3593 ],
- "Q": [ 539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4109": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3594 ],
- "Q": [ 542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4110": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3595 ],
- "Q": [ 545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4111": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3596 ],
- "Q": [ 548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4112": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3597 ],
- "Q": [ 551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4113": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3598 ],
- "Q": [ 554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4114": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3599 ],
- "Q": [ 557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4115": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3600 ],
- "Q": [ 560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4116": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3601 ],
- "Q": [ 563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4117": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3602 ],
- "Q": [ 566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4118": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3603 ],
- "Q": [ 569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4119": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3604 ],
- "Q": [ 572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4120": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3605 ],
- "Q": [ 575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4121": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3606 ],
- "Q": [ 578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4122": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3607 ],
- "Q": [ 581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4123": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3608 ],
- "Q": [ 584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4124": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3609 ],
- "Q": [ 587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4125": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3610 ],
- "Q": [ 590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4126": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3611 ],
- "Q": [ 593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4127": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3612 ],
- "Q": [ 596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4128": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3613 ],
- "Q": [ 599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4129": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3614 ],
- "Q": [ 602 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4130": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3615 ],
- "Q": [ 605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4131": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3616 ],
- "Q": [ 608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4132": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3617 ],
- "Q": [ 611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4133": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3618 ],
- "Q": [ 614 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4134": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3619 ],
- "Q": [ 617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4135": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3620 ],
- "Q": [ 620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4136": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3621 ],
- "Q": [ 623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4137": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3622 ],
- "Q": [ 626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4138": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3623 ],
- "Q": [ 629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4139": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3624 ],
- "Q": [ 632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4140": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3625 ],
- "Q": [ 635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4141": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3626 ],
- "Q": [ 638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4142": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3627 ],
- "Q": [ 641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4143": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3628 ],
- "Q": [ 644 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4144": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3629 ],
- "Q": [ 647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4145": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3630 ],
- "Q": [ 650 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4146": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3631 ],
- "Q": [ 653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4147": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3632 ],
- "Q": [ 656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4148": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3633 ],
- "Q": [ 659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4149": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3634 ],
- "Q": [ 662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4150": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3635 ],
- "Q": [ 665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4151": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3636 ],
- "Q": [ 668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4152": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3637 ],
- "Q": [ 671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4153": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3638 ],
- "Q": [ 674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4154": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3639 ],
- "Q": [ 677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4155": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3640 ],
- "Q": [ 680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4156": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3641 ],
- "Q": [ 683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4157": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3642 ],
- "Q": [ 686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4158": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3643 ],
- "Q": [ 689 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4159": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3644 ],
- "Q": [ 692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4160": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3645 ],
- "Q": [ 695 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4161": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3646 ],
- "Q": [ 698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4162": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3647 ],
- "Q": [ 701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4163": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3648 ],
- "Q": [ 704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4164": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3649 ],
- "Q": [ 707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4165": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3650 ],
- "Q": [ 710 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4166": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3651 ],
- "Q": [ 713 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4167": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3652 ],
- "Q": [ 716 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4168": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3653 ],
- "Q": [ 719 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4169": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3654 ],
- "Q": [ 722 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4170": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3655 ],
- "Q": [ 725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4171": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3656 ],
- "Q": [ 728 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4172": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3657 ],
- "Q": [ 731 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4173": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3658 ],
- "Q": [ 734 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4174": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3659 ],
- "Q": [ 737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4175": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3660 ],
- "Q": [ 740 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4176": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3661 ],
- "Q": [ 743 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4177": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3662 ],
- "Q": [ 746 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4178": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3663 ],
- "Q": [ 749 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4179": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3664 ],
- "Q": [ 752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4180": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3665 ],
- "Q": [ 755 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4181": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3666 ],
- "Q": [ 758 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4182": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3667 ],
- "Q": [ 761 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4183": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3668 ],
- "Q": [ 764 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4184": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3669 ],
- "Q": [ 767 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4185": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3670 ],
- "Q": [ 770 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4186": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3671 ],
- "Q": [ 773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4187": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3672 ],
- "Q": [ 776 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4188": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3673 ],
- "Q": [ 779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4189": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3674 ],
- "Q": [ 782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4190": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3675 ],
- "Q": [ 785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4191": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3676 ],
- "Q": [ 788 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4192": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3677 ],
- "Q": [ 791 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4193": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3678 ],
- "Q": [ 794 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4194": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3679 ],
- "Q": [ 797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4195": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3680 ],
- "Q": [ 800 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4196": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3681 ],
- "Q": [ 803 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4197": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3682 ],
- "Q": [ 806 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4198": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3683 ],
- "Q": [ 809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4199": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3684 ],
- "Q": [ 812 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4200": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3685 ],
- "Q": [ 815 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4201": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3686 ],
- "Q": [ 818 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4202": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3687 ],
- "Q": [ 821 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4203": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3688 ],
- "Q": [ 824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4204": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3689 ],
- "Q": [ 827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4205": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3690 ],
- "Q": [ 830 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4206": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3691 ],
- "Q": [ 833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4207": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3692 ],
- "Q": [ 836 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4208": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3693 ],
- "Q": [ 839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4209": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3694 ],
- "Q": [ 842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4210": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3695 ],
- "Q": [ 845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4211": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3696 ],
- "Q": [ 848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4212": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3697 ],
- "Q": [ 851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4213": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3698 ],
- "Q": [ 854 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4214": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3699 ],
- "Q": [ 857 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4215": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3700 ],
- "Q": [ 860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4216": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3701 ],
- "Q": [ 863 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4217": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3702 ],
- "Q": [ 866 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4218": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3703 ],
- "Q": [ 869 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4219": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3704 ],
- "Q": [ 872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4220": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3705 ],
- "Q": [ 875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4221": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3706 ],
- "Q": [ 878 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4222": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3707 ],
- "Q": [ 881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4223": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3708 ],
- "Q": [ 884 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4224": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3709 ],
- "Q": [ 887 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4225": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3710 ],
- "Q": [ 890 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4226": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3711 ],
- "Q": [ 893 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4227": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3712 ],
- "Q": [ 896 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4228": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3713 ],
- "Q": [ 899 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4229": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3714 ],
- "Q": [ 902 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4230": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3715 ],
- "Q": [ 905 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4231": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3716 ],
- "Q": [ 908 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4232": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3717 ],
- "Q": [ 911 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4233": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3718 ],
- "Q": [ 914 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4234": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3719 ],
- "Q": [ 917 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4235": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3720 ],
- "Q": [ 920 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4236": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3721 ],
- "Q": [ 923 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4237": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3722 ],
- "Q": [ 926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4238": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3723 ],
- "Q": [ 929 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4239": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3724 ],
- "Q": [ 932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4240": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3725 ],
- "Q": [ 935 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4241": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3726 ],
- "Q": [ 938 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4242": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3727 ],
- "Q": [ 941 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4243": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3728 ],
- "Q": [ 944 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4244": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3729 ],
- "Q": [ 947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4245": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3730 ],
- "Q": [ 950 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4246": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3731 ],
- "Q": [ 953 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4247": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3732 ],
- "Q": [ 956 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4248": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3733 ],
- "Q": [ 959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4249": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3734 ],
- "Q": [ 962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4250": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3735 ],
- "Q": [ 965 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4251": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3736 ],
- "Q": [ 968 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4252": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3737 ],
- "Q": [ 971 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3738 ],
- "Q": [ 974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3739 ],
- "Q": [ 977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4255": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3740 ],
- "Q": [ 980 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3741 ],
- "Q": [ 983 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3742 ],
- "Q": [ 986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4258": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3743 ],
- "Q": [ 989 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4259": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3744 ],
- "Q": [ 992 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4260": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3745 ],
- "Q": [ 995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4261": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3746 ],
- "Q": [ 998 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4262": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3747 ],
- "Q": [ 1001 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4263": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3748 ],
- "Q": [ 1004 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4264": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3749 ],
- "Q": [ 1007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4265": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3750 ],
- "Q": [ 1010 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4266": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3751 ],
- "Q": [ 1013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4267": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3752 ],
- "Q": [ 1016 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4268": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3753 ],
- "Q": [ 1019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4269": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3754 ],
- "Q": [ 1022 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4270": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3755 ],
- "Q": [ 1025 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4271": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3756 ],
- "Q": [ 1028 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4272": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3757 ],
- "Q": [ 1031 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4273": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3758 ],
- "Q": [ 1034 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4274": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3759 ],
- "Q": [ 1037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4275": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3760 ],
- "Q": [ 1040 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4276": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3761 ],
- "Q": [ 1043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4277": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3762 ],
- "Q": [ 1046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4278": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3763 ],
- "Q": [ 1049 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4279": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3764 ],
- "Q": [ 1052 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4280": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3765 ],
- "Q": [ 1055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4281": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3766 ],
- "Q": [ 1058 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4282": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3767 ],
- "Q": [ 1061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4283": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3768 ],
- "Q": [ 1064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4284": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3769 ],
- "Q": [ 1067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4285": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3770 ],
- "Q": [ 1070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4286": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3771 ],
- "Q": [ 1073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4287": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3772 ],
- "Q": [ 1076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4288": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3773 ],
- "Q": [ 1079 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4289": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3774 ],
- "Q": [ 1082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4290": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3775 ],
- "Q": [ 1085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4291": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3776 ],
- "Q": [ 1088 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4292": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3777 ],
- "Q": [ 1091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4293": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3778 ],
- "Q": [ 1094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4294": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3779 ],
- "Q": [ 1097 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4295": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3780 ],
- "Q": [ 1100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4296": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3781 ],
- "Q": [ 1103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4297": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3782 ],
- "Q": [ 1106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4298": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3783 ],
- "Q": [ 1109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4299": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3784 ],
- "Q": [ 1112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4300": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3785 ],
- "Q": [ 1115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4301": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3786 ],
- "Q": [ 1118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4302": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3787 ],
- "Q": [ 1121 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4303": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3788 ],
- "Q": [ 1124 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4304": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3789 ],
- "Q": [ 1127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4305": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3790 ],
- "Q": [ 1130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4306": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3791 ],
- "Q": [ 1133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4307": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3792 ],
- "Q": [ 1136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4308": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3793 ],
- "Q": [ 1139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4309": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3794 ],
- "Q": [ 1142 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4310": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3795 ],
- "Q": [ 1145 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4311": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3796 ],
- "Q": [ 1148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4312": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3797 ],
- "Q": [ 1151 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4313": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3798 ],
- "Q": [ 1154 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4314": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3799 ],
- "Q": [ 1157 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4315": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3800 ],
- "Q": [ 1160 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4316": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3801 ],
- "Q": [ 1163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4317": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3802 ],
- "Q": [ 1166 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4318": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3803 ],
- "Q": [ 1169 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4319": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3804 ],
- "Q": [ 1172 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4320": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3805 ],
- "Q": [ 1175 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4321": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3806 ],
- "Q": [ 1178 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4322": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3807 ],
- "Q": [ 1181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4323": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3808 ],
- "Q": [ 3536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4324": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3809 ],
- "Q": [ 3537 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4325": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3810 ],
- "Q": [ 3538 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4326": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3811 ],
- "Q": [ 3539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4327": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3812 ],
- "Q": [ 3540 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4328": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3813 ],
- "Q": [ 3541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4329": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3814 ],
- "Q": [ 3542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4330": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3815 ],
- "Q": [ 3543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4331": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3816 ],
- "Q": [ 3544 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4332": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3817 ],
- "Q": [ 3545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4333": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3818 ],
- "Q": [ 3546 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4334": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3819 ],
- "Q": [ 3547 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4335": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3820 ],
- "Q": [ 3548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4336": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3821 ],
- "Q": [ 3549 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4337": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3822 ],
- "Q": [ 3550 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4338": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3823 ],
- "Q": [ 3551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4339": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3824 ],
- "Q": [ 3552 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4340": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3825 ],
- "Q": [ 3553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4341": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3826 ],
- "Q": [ 3554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4342": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3827 ],
- "Q": [ 3555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4343": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3828 ],
- "Q": [ 3556 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4344": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3829 ],
- "Q": [ 3557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4345": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3830 ],
- "Q": [ 3558 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4346": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3831 ],
- "Q": [ 3559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4347": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3832 ],
- "Q": [ 3560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4348": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3833 ],
- "Q": [ 3561 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4349": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3834 ],
- "Q": [ 3562 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4350": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3835 ],
- "Q": [ 3563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4351": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3836 ],
- "Q": [ 3564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4352": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3837 ],
- "Q": [ 3565 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4353": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3838 ],
- "Q": [ 3566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4354": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3839 ],
- "Q": [ 3567 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4355": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3840 ],
- "Q": [ 3568 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4356": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3841 ],
- "Q": [ 3569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4357": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3842 ],
- "Q": [ 3570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4358": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3843 ],
- "Q": [ 3571 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4359": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3844 ],
- "Q": [ 3572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4360": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3845 ],
- "Q": [ 3573 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4361": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3846 ],
- "Q": [ 3574 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4362": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3847 ],
- "Q": [ 3575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4363": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3848 ],
- "Q": [ 3576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4364": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3849 ],
- "Q": [ 3577 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4365": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3850 ],
- "Q": [ 3578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4366": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3851 ],
- "Q": [ 3579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4367": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3852 ],
- "Q": [ 3580 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4368": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3853 ],
- "Q": [ 3581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4369": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3854 ],
- "Q": [ 3582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4370": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3855 ],
- "Q": [ 3583 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4371": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3856 ],
- "Q": [ 3584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4372": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3857 ],
- "Q": [ 3585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4373": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3858 ],
- "Q": [ 3586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4374": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3859 ],
- "Q": [ 3587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4375": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3860 ],
- "Q": [ 3588 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4376": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3861 ],
- "Q": [ 3589 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4377": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3862 ],
- "Q": [ 3590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4378": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3863 ],
- "Q": [ 3591 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4379": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3864 ],
- "Q": [ 3592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4380": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3865 ],
- "Q": [ 3593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3866 ],
- "Q": [ 3594 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4382": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3867 ],
- "Q": [ 3595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4383": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3868 ],
- "Q": [ 3596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4384": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3869 ],
- "Q": [ 3597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4385": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3870 ],
- "Q": [ 3598 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3871 ],
- "Q": [ 3599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4387": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3872 ],
- "Q": [ 3600 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4388": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3873 ],
- "Q": [ 3601 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4389": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3874 ],
- "Q": [ 3602 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4390": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3875 ],
- "Q": [ 3603 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4391": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3876 ],
- "Q": [ 3604 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4392": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3877 ],
- "Q": [ 3605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4393": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3878 ],
- "Q": [ 3606 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4394": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3879 ],
- "Q": [ 3607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3880 ],
- "Q": [ 3608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4396": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3881 ],
- "Q": [ 3609 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4397": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3882 ],
- "Q": [ 3610 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4398": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3883 ],
- "Q": [ 3611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4399": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3884 ],
- "Q": [ 3612 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4400": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3885 ],
- "Q": [ 3613 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4401": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3886 ],
- "Q": [ 3614 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4402": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3887 ],
- "Q": [ 3615 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4403": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3888 ],
- "Q": [ 3616 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4404": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3889 ],
- "Q": [ 3617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4405": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3890 ],
- "Q": [ 3618 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4406": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3891 ],
- "Q": [ 3619 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4407": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3892 ],
- "Q": [ 3620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4408": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3893 ],
- "Q": [ 3621 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4409": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3894 ],
- "Q": [ 3622 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4410": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3895 ],
- "Q": [ 3623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4411": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3896 ],
- "Q": [ 3624 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4412": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3897 ],
- "Q": [ 3625 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4413": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3898 ],
- "Q": [ 3626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4414": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3899 ],
- "Q": [ 3627 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4415": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3900 ],
- "Q": [ 3628 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4416": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3901 ],
- "Q": [ 3629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4417": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3902 ],
- "Q": [ 3630 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4418": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3903 ],
- "Q": [ 3631 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4419": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3904 ],
- "Q": [ 3632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4420": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3905 ],
- "Q": [ 3633 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4421": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3906 ],
- "Q": [ 3634 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4422": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3907 ],
- "Q": [ 3635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4423": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3908 ],
- "Q": [ 3636 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4424": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3909 ],
- "Q": [ 3637 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4425": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3910 ],
- "Q": [ 3638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4426": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3911 ],
- "Q": [ 3639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4427": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3912 ],
- "Q": [ 3640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4428": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3913 ],
- "Q": [ 3641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4429": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3914 ],
- "Q": [ 3642 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4430": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3915 ],
- "Q": [ 3643 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4431": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3916 ],
- "Q": [ 3644 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4432": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3917 ],
- "Q": [ 3645 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4433": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3918 ],
- "Q": [ 3646 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4434": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3919 ],
- "Q": [ 3647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4435": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3920 ],
- "Q": [ 3648 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4436": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3921 ],
- "Q": [ 3649 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4437": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3922 ],
- "Q": [ 3650 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4438": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3923 ],
- "Q": [ 3651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4439": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3924 ],
- "Q": [ 3652 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4440": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3925 ],
- "Q": [ 3653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4441": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3926 ],
- "Q": [ 3654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4442": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3927 ],
- "Q": [ 3655 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4443": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3928 ],
- "Q": [ 3656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4444": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3929 ],
- "Q": [ 3657 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4445": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3930 ],
- "Q": [ 3658 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4446": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3931 ],
- "Q": [ 3659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4447": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3932 ],
- "Q": [ 3660 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4448": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3933 ],
- "Q": [ 3661 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4449": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3934 ],
- "Q": [ 3662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4450": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3935 ],
- "Q": [ 3663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4451": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3936 ],
- "Q": [ 3664 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4452": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3937 ],
- "Q": [ 3665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4453": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3938 ],
- "Q": [ 3666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4454": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3939 ],
- "Q": [ 3667 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4455": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3940 ],
- "Q": [ 3668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4456": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3941 ],
- "Q": [ 3669 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4457": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3942 ],
- "Q": [ 3670 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4458": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3943 ],
- "Q": [ 3671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4459": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3944 ],
- "Q": [ 3672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4460": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3945 ],
- "Q": [ 3673 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4461": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3946 ],
- "Q": [ 3674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4462": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3947 ],
- "Q": [ 3675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4463": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3948 ],
- "Q": [ 3676 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4464": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3949 ],
- "Q": [ 3677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4465": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3950 ],
- "Q": [ 3678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4466": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3951 ],
- "Q": [ 3679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4467": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3952 ],
- "Q": [ 3680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4468": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3953 ],
- "Q": [ 3681 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4469": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3954 ],
- "Q": [ 3682 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4470": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3955 ],
- "Q": [ 3683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4471": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3956 ],
- "Q": [ 3684 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4472": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3957 ],
- "Q": [ 3685 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4473": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3958 ],
- "Q": [ 3686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4474": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3959 ],
- "Q": [ 3687 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4475": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3960 ],
- "Q": [ 3688 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4476": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3961 ],
- "Q": [ 3689 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4477": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3962 ],
- "Q": [ 3690 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4478": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3963 ],
- "Q": [ 3691 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4479": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3964 ],
- "Q": [ 3692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4480": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3965 ],
- "Q": [ 3693 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4481": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3966 ],
- "Q": [ 3694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4482": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3967 ],
- "Q": [ 3695 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4483": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3968 ],
- "Q": [ 3696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4484": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3969 ],
- "Q": [ 3697 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4485": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3970 ],
- "Q": [ 3698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4486": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3971 ],
- "Q": [ 3699 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4487": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3972 ],
- "Q": [ 3700 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4488": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3973 ],
- "Q": [ 3701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4489": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3974 ],
- "Q": [ 3702 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4490": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3975 ],
- "Q": [ 3703 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4491": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3976 ],
- "Q": [ 3704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4492": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3977 ],
- "Q": [ 3705 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4493": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3978 ],
- "Q": [ 3706 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4494": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3979 ],
- "Q": [ 3707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4495": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3980 ],
- "Q": [ 3708 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4496": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3981 ],
- "Q": [ 3709 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4497": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3982 ],
- "Q": [ 3710 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4498": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3983 ],
- "Q": [ 3711 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4499": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3984 ],
- "Q": [ 3712 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4500": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3985 ],
- "Q": [ 3713 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4501": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3986 ],
- "Q": [ 3714 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4502": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3987 ],
- "Q": [ 3715 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4503": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3988 ],
- "Q": [ 3716 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4504": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3989 ],
- "Q": [ 3717 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4505": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3990 ],
- "Q": [ 3718 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4506": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3991 ],
- "Q": [ 3719 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4507": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3992 ],
- "Q": [ 3720 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4508": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3993 ],
- "Q": [ 3721 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4509": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3994 ],
- "Q": [ 3722 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4510": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3995 ],
- "Q": [ 3723 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4511": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3996 ],
- "Q": [ 3724 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4512": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3997 ],
- "Q": [ 3725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4513": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3998 ],
- "Q": [ 3726 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4514": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3999 ],
- "Q": [ 3727 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4515": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4000 ],
- "Q": [ 3728 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4516": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4001 ],
- "Q": [ 3729 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4517": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4002 ],
- "Q": [ 3730 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4518": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4003 ],
- "Q": [ 3731 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4519": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4004 ],
- "Q": [ 3732 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4520": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4005 ],
- "Q": [ 3733 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4521": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4006 ],
- "Q": [ 3734 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4522": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4007 ],
- "Q": [ 3735 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4523": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4008 ],
- "Q": [ 3736 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4524": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4009 ],
- "Q": [ 3737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4525": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4010 ],
- "Q": [ 3738 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4526": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4011 ],
- "Q": [ 3739 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4527": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4012 ],
- "Q": [ 3740 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4528": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4013 ],
- "Q": [ 3741 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4529": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4014 ],
- "Q": [ 3742 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4530": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4015 ],
- "Q": [ 3743 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4531": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4016 ],
- "Q": [ 3744 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4532": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4017 ],
- "Q": [ 3745 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4533": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4018 ],
- "Q": [ 3746 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4534": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4019 ],
- "Q": [ 3747 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4535": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4020 ],
- "Q": [ 3748 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4536": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4021 ],
- "Q": [ 3749 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4537": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4022 ],
- "Q": [ 3750 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4538": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4023 ],
- "Q": [ 3751 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4024 ],
- "Q": [ 3752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4540": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4025 ],
- "Q": [ 3753 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4541": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4026 ],
- "Q": [ 3754 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4027 ],
- "Q": [ 3755 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4028 ],
- "Q": [ 3756 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4029 ],
- "Q": [ 3757 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4030 ],
- "Q": [ 3758 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4031 ],
- "Q": [ 3759 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4032 ],
- "Q": [ 3760 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4033 ],
- "Q": [ 3761 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4034 ],
- "Q": [ 3762 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4035 ],
- "Q": [ 3763 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4036 ],
- "Q": [ 3764 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4552": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4037 ],
- "Q": [ 3765 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4553": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4038 ],
- "Q": [ 3766 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4554": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4039 ],
- "Q": [ 3767 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4555": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4040 ],
- "Q": [ 3768 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4556": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4041 ],
- "Q": [ 3769 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4557": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4042 ],
- "Q": [ 3770 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4558": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4043 ],
- "Q": [ 3771 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4559": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4044 ],
- "Q": [ 3772 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4560": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4045 ],
- "Q": [ 3773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4561": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4046 ],
- "Q": [ 3774 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4562": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4047 ],
- "Q": [ 3775 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4563": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4048 ],
- "Q": [ 3776 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4564": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4049 ],
- "Q": [ 3777 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4565": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4050 ],
- "Q": [ 3778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4566": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4051 ],
- "Q": [ 3779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4567": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4052 ],
- "Q": [ 3780 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4568": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4053 ],
- "Q": [ 3781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4569": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4054 ],
- "Q": [ 3782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4570": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4055 ],
- "Q": [ 3783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4571": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4056 ],
- "Q": [ 3784 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4572": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4057 ],
- "Q": [ 3785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4573": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4058 ],
- "Q": [ 3786 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4574": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4059 ],
- "Q": [ 3787 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4575": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4060 ],
- "Q": [ 3788 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4576": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4061 ],
- "Q": [ 3789 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4577": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4062 ],
- "Q": [ 3790 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4578": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4063 ],
- "Q": [ 3791 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4579": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4064 ],
- "Q": [ 3792 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4580": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4065 ],
- "Q": [ 3793 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4581": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4066 ],
- "Q": [ 3794 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4582": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4067 ],
- "Q": [ 3795 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4583": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4068 ],
- "Q": [ 3796 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4584": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4069 ],
- "Q": [ 3797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4585": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4070 ],
- "Q": [ 3798 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4586": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4071 ],
- "Q": [ 3799 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4587": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4072 ],
- "Q": [ 3800 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4588": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4073 ],
- "Q": [ 3801 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4589": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4074 ],
- "Q": [ 3802 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4590": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4075 ],
- "Q": [ 3803 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4076 ],
- "Q": [ 3804 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4592": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4077 ],
- "Q": [ 3805 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4593": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4078 ],
- "Q": [ 3806 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4594": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4079 ],
- "Q": [ 3807 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4595": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4080 ],
- "Q": [ 3808 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4596": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4081 ],
- "Q": [ 3809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4597": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4082 ],
- "Q": [ 3810 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4598": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4083 ],
- "Q": [ 3811 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4599": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4084 ],
- "Q": [ 3812 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4600": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4085 ],
- "Q": [ 3813 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4601": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4086 ],
- "Q": [ 3814 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4602": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4087 ],
- "Q": [ 3815 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4603": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4088 ],
- "Q": [ 3816 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4604": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4089 ],
- "Q": [ 3817 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4605": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4090 ],
- "Q": [ 3818 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4606": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4091 ],
- "Q": [ 3819 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4607": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4092 ],
- "Q": [ 3820 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4608": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4093 ],
- "Q": [ 3821 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4609": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4094 ],
- "Q": [ 3822 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4610": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4095 ],
- "Q": [ 3823 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4611": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3280 ],
- "Q": [ 3824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4612": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3281 ],
- "Q": [ 3825 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4613": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3282 ],
- "Q": [ 3826 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4614": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3283 ],
- "Q": [ 3827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3284 ],
- "Q": [ 3828 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3285 ],
- "Q": [ 3829 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3286 ],
- "Q": [ 3830 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3287 ],
- "Q": [ 3831 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3288 ],
- "Q": [ 3832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3289 ],
- "Q": [ 3833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4621": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3290 ],
- "Q": [ 3834 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3291 ],
- "Q": [ 3835 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4623": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3292 ],
- "Q": [ 3836 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4624": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3293 ],
- "Q": [ 3837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4625": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3294 ],
- "Q": [ 3838 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4626": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3295 ],
- "Q": [ 3839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4627": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3296 ],
- "Q": [ 3840 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4628": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3297 ],
- "Q": [ 3841 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4629": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3298 ],
- "Q": [ 3842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4630": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3299 ],
- "Q": [ 3843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4631": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3300 ],
- "Q": [ 3844 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4632": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3301 ],
- "Q": [ 3845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4633": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3302 ],
- "Q": [ 3846 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4634": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3303 ],
- "Q": [ 3847 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4635": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3304 ],
- "Q": [ 3848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4636": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3305 ],
- "Q": [ 3849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4637": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3306 ],
- "Q": [ 3850 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4638": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3307 ],
- "Q": [ 3851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4639": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3308 ],
- "Q": [ 3852 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4640": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3309 ],
- "Q": [ 3853 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4641": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3310 ],
- "Q": [ 3854 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4642": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3311 ],
- "Q": [ 3855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4643": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3312 ],
- "Q": [ 3856 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4644": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3313 ],
- "Q": [ 3857 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4645": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3314 ],
- "Q": [ 3858 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4646": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3315 ],
- "Q": [ 3859 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4647": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3316 ],
- "Q": [ 3860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4648": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3317 ],
- "Q": [ 3861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4649": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3318 ],
- "Q": [ 3862 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4650": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3319 ],
- "Q": [ 3863 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4651": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3320 ],
- "Q": [ 3864 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4652": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3321 ],
- "Q": [ 3865 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4653": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3322 ],
- "Q": [ 3866 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4654": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3323 ],
- "Q": [ 3867 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4655": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3324 ],
- "Q": [ 3868 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4656": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3325 ],
- "Q": [ 3869 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4657": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3326 ],
- "Q": [ 3870 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4658": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3327 ],
- "Q": [ 3871 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4659": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3328 ],
- "Q": [ 3872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4660": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3329 ],
- "Q": [ 3873 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4661": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3330 ],
- "Q": [ 3874 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4662": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3331 ],
- "Q": [ 3875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4663": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3332 ],
- "Q": [ 3876 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4664": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3333 ],
- "Q": [ 3877 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4665": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3334 ],
- "Q": [ 3878 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4666": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3335 ],
- "Q": [ 3879 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4667": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3336 ],
- "Q": [ 3880 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4668": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3337 ],
- "Q": [ 3881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4669": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3338 ],
- "Q": [ 3882 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4670": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3339 ],
- "Q": [ 3883 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4671": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3340 ],
- "Q": [ 3884 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4672": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3341 ],
- "Q": [ 3885 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4673": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3342 ],
- "Q": [ 3886 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4674": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3343 ],
- "Q": [ 3887 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4675": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3344 ],
- "Q": [ 3888 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4676": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3345 ],
- "Q": [ 3889 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4677": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3346 ],
- "Q": [ 3890 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4678": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3347 ],
- "Q": [ 3891 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4679": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3348 ],
- "Q": [ 3892 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4680": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3349 ],
- "Q": [ 3893 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4681": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3350 ],
- "Q": [ 3894 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4682": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3351 ],
- "Q": [ 3895 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4683": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3352 ],
- "Q": [ 3896 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4684": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3353 ],
- "Q": [ 3897 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4685": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3354 ],
- "Q": [ 3898 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4686": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3355 ],
- "Q": [ 3899 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4687": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3356 ],
- "Q": [ 3900 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4688": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3357 ],
- "Q": [ 3901 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4689": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3358 ],
- "Q": [ 3902 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4690": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3359 ],
- "Q": [ 3903 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4691": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3360 ],
- "Q": [ 3904 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4692": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3361 ],
- "Q": [ 3905 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4693": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3362 ],
- "Q": [ 3906 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4694": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3363 ],
- "Q": [ 3907 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4695": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3364 ],
- "Q": [ 3908 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4696": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3365 ],
- "Q": [ 3909 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4697": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3366 ],
- "Q": [ 3910 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4698": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3367 ],
- "Q": [ 3911 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4699": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3368 ],
- "Q": [ 3912 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4700": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3369 ],
- "Q": [ 3913 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4701": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3370 ],
- "Q": [ 3914 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4702": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3371 ],
- "Q": [ 3915 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4703": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3372 ],
- "Q": [ 3916 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4704": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3373 ],
- "Q": [ 3917 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4705": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3374 ],
- "Q": [ 3918 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4706": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3375 ],
- "Q": [ 3919 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4707": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3376 ],
- "Q": [ 3920 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4708": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3377 ],
- "Q": [ 3921 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4709": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3378 ],
- "Q": [ 3922 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4710": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3379 ],
- "Q": [ 3923 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4711": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3380 ],
- "Q": [ 3924 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4712": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3381 ],
- "Q": [ 3925 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4713": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3382 ],
- "Q": [ 3926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4714": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3383 ],
- "Q": [ 3927 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4715": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3384 ],
- "Q": [ 3928 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4716": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3385 ],
- "Q": [ 3929 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4717": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3386 ],
- "Q": [ 3930 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4718": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3387 ],
- "Q": [ 3931 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4719": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3388 ],
- "Q": [ 3932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4720": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3389 ],
- "Q": [ 3933 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4721": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3390 ],
- "Q": [ 3934 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4722": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3391 ],
- "Q": [ 3935 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4723": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3392 ],
- "Q": [ 3936 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4724": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3393 ],
- "Q": [ 3937 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4725": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3394 ],
- "Q": [ 3938 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4726": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3395 ],
- "Q": [ 3939 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4727": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3396 ],
- "Q": [ 3940 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4728": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3397 ],
- "Q": [ 3941 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4729": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3398 ],
- "Q": [ 3942 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4730": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3399 ],
- "Q": [ 3943 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4731": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3400 ],
- "Q": [ 3944 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4732": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3401 ],
- "Q": [ 3945 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4733": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3402 ],
- "Q": [ 3946 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4734": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3403 ],
- "Q": [ 3947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4735": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3404 ],
- "Q": [ 3948 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4736": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3405 ],
- "Q": [ 3949 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4737": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3406 ],
- "Q": [ 3950 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4738": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3407 ],
- "Q": [ 3951 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4739": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3408 ],
- "Q": [ 3952 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4740": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3409 ],
- "Q": [ 3953 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4741": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3410 ],
- "Q": [ 3954 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4742": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3411 ],
- "Q": [ 3955 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4743": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3412 ],
- "Q": [ 3956 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4744": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3413 ],
- "Q": [ 3957 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4745": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3414 ],
- "Q": [ 3958 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4746": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3415 ],
- "Q": [ 3959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4747": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3416 ],
- "Q": [ 3960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4748": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3417 ],
- "Q": [ 3961 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4749": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3418 ],
- "Q": [ 3962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4750": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3419 ],
- "Q": [ 3963 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4751": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3420 ],
- "Q": [ 3964 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4752": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3421 ],
- "Q": [ 3965 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4753": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3422 ],
- "Q": [ 3966 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4754": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3423 ],
- "Q": [ 3967 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4755": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3424 ],
- "Q": [ 3968 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4756": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3425 ],
- "Q": [ 3969 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4757": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3426 ],
- "Q": [ 3970 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4758": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3427 ],
- "Q": [ 3971 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4759": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3428 ],
- "Q": [ 3972 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4760": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3429 ],
- "Q": [ 3973 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4761": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3430 ],
- "Q": [ 3974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4762": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3431 ],
- "Q": [ 3975 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4763": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3432 ],
- "Q": [ 3976 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4764": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3433 ],
- "Q": [ 3977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4765": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3434 ],
- "Q": [ 3978 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4766": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3435 ],
- "Q": [ 3979 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4767": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3436 ],
- "Q": [ 3980 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4768": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3437 ],
- "Q": [ 3981 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4769": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3438 ],
- "Q": [ 3982 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4770": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3439 ],
- "Q": [ 3983 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4771": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3440 ],
- "Q": [ 3984 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4772": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3441 ],
- "Q": [ 3985 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4773": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3442 ],
- "Q": [ 3986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4774": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3443 ],
- "Q": [ 3987 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4775": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3444 ],
- "Q": [ 3988 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4776": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3445 ],
- "Q": [ 3989 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4777": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3446 ],
- "Q": [ 3990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4778": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3447 ],
- "Q": [ 3991 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4779": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3448 ],
- "Q": [ 3992 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4780": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3449 ],
- "Q": [ 3993 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4781": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3450 ],
- "Q": [ 3994 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4782": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3451 ],
- "Q": [ 3995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4783": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3452 ],
- "Q": [ 3996 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4784": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3453 ],
- "Q": [ 3997 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4785": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3454 ],
- "Q": [ 3998 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4786": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3455 ],
- "Q": [ 3999 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4787": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3456 ],
- "Q": [ 4000 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4788": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3457 ],
- "Q": [ 4001 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4789": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3458 ],
- "Q": [ 4002 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4790": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3459 ],
- "Q": [ 4003 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4791": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3460 ],
- "Q": [ 4004 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4792": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3461 ],
- "Q": [ 4005 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4793": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3462 ],
- "Q": [ 4006 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4794": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3463 ],
- "Q": [ 4007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4795": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3464 ],
- "Q": [ 4008 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4796": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3465 ],
- "Q": [ 4009 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4797": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3466 ],
- "Q": [ 4010 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4798": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3467 ],
- "Q": [ 4011 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4799": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3468 ],
- "Q": [ 4012 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4800": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3469 ],
- "Q": [ 4013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4801": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3470 ],
- "Q": [ 4014 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4802": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3471 ],
- "Q": [ 4015 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4803": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3472 ],
- "Q": [ 4016 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4804": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3473 ],
- "Q": [ 4017 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4805": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3474 ],
- "Q": [ 4018 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4806": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3475 ],
- "Q": [ 4019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4807": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3476 ],
- "Q": [ 4020 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4808": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3477 ],
- "Q": [ 4021 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4809": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3478 ],
- "Q": [ 4022 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4810": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3479 ],
- "Q": [ 4023 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4811": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3480 ],
- "Q": [ 4024 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4812": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3481 ],
- "Q": [ 4025 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4813": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3482 ],
- "Q": [ 4026 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4814": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3483 ],
- "Q": [ 4027 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4815": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3484 ],
- "Q": [ 4028 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4816": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3485 ],
- "Q": [ 4029 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4817": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3486 ],
- "Q": [ 4030 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4818": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3487 ],
- "Q": [ 4031 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4819": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3488 ],
- "Q": [ 4032 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4820": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3489 ],
- "Q": [ 4033 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4821": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3490 ],
- "Q": [ 4034 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4822": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3491 ],
- "Q": [ 4035 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4823": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3492 ],
- "Q": [ 4036 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4824": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3493 ],
- "Q": [ 4037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4825": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3494 ],
- "Q": [ 4038 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4826": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3495 ],
- "Q": [ 4039 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4827": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3496 ],
- "Q": [ 4040 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4828": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3497 ],
- "Q": [ 4041 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4829": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3498 ],
- "Q": [ 4042 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4830": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3499 ],
- "Q": [ 4043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4831": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3500 ],
- "Q": [ 4044 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4832": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3501 ],
- "Q": [ 4045 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4833": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3502 ],
- "Q": [ 4046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4834": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3503 ],
- "Q": [ 4047 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4835": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3504 ],
- "Q": [ 4048 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4836": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3505 ],
- "Q": [ 4049 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4837": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3506 ],
- "Q": [ 4050 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4838": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3507 ],
- "Q": [ 4051 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4839": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3508 ],
- "Q": [ 4052 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4840": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3509 ],
- "Q": [ 4053 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4841": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3510 ],
- "Q": [ 4054 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4842": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3511 ],
- "Q": [ 4055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3512 ],
- "Q": [ 4056 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4844": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3513 ],
- "Q": [ 4057 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3514 ],
- "Q": [ 4058 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4846": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3515 ],
- "Q": [ 4059 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4847": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3516 ],
- "Q": [ 4060 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4848": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3517 ],
- "Q": [ 4061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4849": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3518 ],
- "Q": [ 4062 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4850": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3519 ],
- "Q": [ 4063 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4851": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3520 ],
- "Q": [ 4064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4852": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3521 ],
- "Q": [ 4065 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4853": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3522 ],
- "Q": [ 4066 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4854": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3523 ],
- "Q": [ 4067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4855": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3524 ],
- "Q": [ 4068 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4856": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3525 ],
- "Q": [ 4069 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4857": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3526 ],
- "Q": [ 4070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4858": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3527 ],
- "Q": [ 4071 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4859": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3528 ],
- "Q": [ 4072 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4860": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3529 ],
- "Q": [ 4073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4861": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3530 ],
- "Q": [ 4074 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4862": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3531 ],
- "Q": [ 4075 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4863": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3532 ],
- "Q": [ 4076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4864": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3533 ],
- "Q": [ 4077 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4865": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3534 ],
- "Q": [ 4078 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4866": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3535 ],
- "Q": [ 4079 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4867": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1201 ],
- "Q": [ 4080 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4868": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1206 ],
- "Q": [ 4081 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4869": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1209 ],
- "Q": [ 4082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4870": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1212 ],
- "Q": [ 4083 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4871": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1215 ],
- "Q": [ 4084 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4872": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1218 ],
- "Q": [ 4085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4873": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1221 ],
- "Q": [ 4086 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4874": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1224 ],
- "Q": [ 4087 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4875": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1227 ],
- "Q": [ 4088 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4876": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1230 ],
- "Q": [ 4089 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4877": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1233 ],
- "Q": [ 4090 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4878": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1236 ],
- "Q": [ 4091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4879": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1239 ],
- "Q": [ 4092 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4880": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1242 ],
- "Q": [ 4093 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4881": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1245 ],
- "Q": [ 4094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$4882": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1248 ],
- "Q": [ 4095 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5430": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1252 ],
- "Q": [ 4096 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5431": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1260 ],
- "Q": [ 4097 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5432": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1265 ],
- "Q": [ 4098 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5433": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1270 ],
- "Q": [ 4099 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5434": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1275 ],
- "Q": [ 4100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5435": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1280 ],
- "Q": [ 4101 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5436": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1285 ],
- "Q": [ 4102 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5437": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1290 ],
- "Q": [ 4103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5438": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1295 ],
- "Q": [ 4104 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5439": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1300 ],
- "Q": [ 4105 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5440": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1305 ],
- "Q": [ 4106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5441": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1310 ],
- "Q": [ 4107 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5442": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1315 ],
- "Q": [ 4108 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5443": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1320 ],
- "Q": [ 4109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5444": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1325 ],
- "Q": [ 4110 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5445": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1330 ],
- "Q": [ 4111 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5446": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1334 ],
- "Q": [ 4112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5447": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1337 ],
- "Q": [ 4113 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5448": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1340 ],
- "Q": [ 4114 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5449": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1343 ],
- "Q": [ 4115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5450": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1346 ],
- "Q": [ 4116 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5451": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1349 ],
- "Q": [ 4117 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5452": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1352 ],
- "Q": [ 4118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5453": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1355 ],
- "Q": [ 4119 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5454": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1358 ],
- "Q": [ 4120 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5455": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1361 ],
- "Q": [ 4121 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5456": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1364 ],
- "Q": [ 4122 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5457": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1367 ],
- "Q": [ 4123 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5458": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1370 ],
- "Q": [ 4124 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5459": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1373 ],
- "Q": [ 4125 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5460": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1376 ],
- "Q": [ 4126 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5461": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1379 ],
- "Q": [ 4127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5462": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1382 ],
- "Q": [ 4128 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5463": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1385 ],
- "Q": [ 4129 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5464": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1388 ],
- "Q": [ 4130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5465": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1391 ],
- "Q": [ 4131 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5466": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1394 ],
- "Q": [ 4132 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5467": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1397 ],
- "Q": [ 4133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5468": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1400 ],
- "Q": [ 4134 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5469": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1403 ],
- "Q": [ 4135 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5470": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1406 ],
- "Q": [ 4136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5471": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1409 ],
- "Q": [ 4137 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5472": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1412 ],
- "Q": [ 4138 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5473": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1415 ],
- "Q": [ 4139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5474": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1418 ],
- "Q": [ 4140 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5475": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1421 ],
- "Q": [ 4141 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5476": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1424 ],
- "Q": [ 4142 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5477": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1427 ],
- "Q": [ 4143 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5478": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1430 ],
- "Q": [ 4144 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5479": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1433 ],
- "Q": [ 4145 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5480": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1436 ],
- "Q": [ 4146 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5481": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1439 ],
- "Q": [ 4147 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5482": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1442 ],
- "Q": [ 4148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5483": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1445 ],
- "Q": [ 4149 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5484": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1448 ],
- "Q": [ 4150 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5485": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1451 ],
- "Q": [ 4151 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5486": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1454 ],
- "Q": [ 4152 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5487": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1457 ],
- "Q": [ 4153 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5488": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1460 ],
- "Q": [ 4154 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5489": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1463 ],
- "Q": [ 4155 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5490": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1466 ],
- "Q": [ 4156 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5491": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1469 ],
- "Q": [ 4157 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5492": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1472 ],
- "Q": [ 4158 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5493": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1475 ],
- "Q": [ 4159 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5494": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1478 ],
- "Q": [ 4160 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5495": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1481 ],
- "Q": [ 4161 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5496": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1484 ],
- "Q": [ 4162 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5497": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1487 ],
- "Q": [ 4163 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5498": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1490 ],
- "Q": [ 4164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5499": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1493 ],
- "Q": [ 4165 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5500": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1496 ],
- "Q": [ 4166 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5501": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1499 ],
- "Q": [ 4167 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5502": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1502 ],
- "Q": [ 4168 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5503": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1505 ],
- "Q": [ 4169 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5504": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1508 ],
- "Q": [ 4170 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5505": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1511 ],
- "Q": [ 4171 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5506": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1514 ],
- "Q": [ 4172 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5507": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1517 ],
- "Q": [ 4173 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5508": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1520 ],
- "Q": [ 4174 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5509": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1523 ],
- "Q": [ 4175 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5510": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1526 ],
- "Q": [ 4176 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5511": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1529 ],
- "Q": [ 4177 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5512": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1532 ],
- "Q": [ 4178 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5513": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1535 ],
- "Q": [ 4179 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5514": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1538 ],
- "Q": [ 4180 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5515": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1541 ],
- "Q": [ 4181 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5516": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1544 ],
- "Q": [ 4182 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5517": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1547 ],
- "Q": [ 4183 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5518": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1550 ],
- "Q": [ 4184 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5519": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1553 ],
- "Q": [ 4185 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5520": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1556 ],
- "Q": [ 4186 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5521": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1559 ],
- "Q": [ 4187 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5522": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1562 ],
- "Q": [ 4188 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5523": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1565 ],
- "Q": [ 4189 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5524": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1568 ],
- "Q": [ 4190 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5525": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1571 ],
- "Q": [ 4191 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5526": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1574 ],
- "Q": [ 4192 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5527": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1577 ],
- "Q": [ 4193 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5528": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1580 ],
- "Q": [ 4194 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5529": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1583 ],
- "Q": [ 4195 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5530": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1586 ],
- "Q": [ 4196 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5531": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1589 ],
- "Q": [ 4197 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5532": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1592 ],
- "Q": [ 4198 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5533": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1595 ],
- "Q": [ 4199 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5534": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1598 ],
- "Q": [ 4200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5535": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1601 ],
- "Q": [ 4201 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5536": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1604 ],
- "Q": [ 4202 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5537": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1607 ],
- "Q": [ 4203 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5538": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1610 ],
- "Q": [ 4204 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1613 ],
- "Q": [ 4205 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5540": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1616 ],
- "Q": [ 4206 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5541": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1619 ],
- "Q": [ 4207 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1622 ],
- "Q": [ 4208 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1625 ],
- "Q": [ 4209 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1628 ],
- "Q": [ 4210 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1631 ],
- "Q": [ 4211 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1634 ],
- "Q": [ 4212 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1637 ],
- "Q": [ 4213 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1640 ],
- "Q": [ 4214 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1643 ],
- "Q": [ 4215 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1646 ],
- "Q": [ 4216 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1649 ],
- "Q": [ 4217 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5552": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1652 ],
- "Q": [ 4218 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5553": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1655 ],
- "Q": [ 4219 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5554": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1658 ],
- "Q": [ 4220 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5555": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1661 ],
- "Q": [ 4221 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5556": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1664 ],
- "Q": [ 4222 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5557": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1667 ],
- "Q": [ 4223 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5558": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1670 ],
- "Q": [ 4224 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5559": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1673 ],
- "Q": [ 4225 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5560": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1676 ],
- "Q": [ 4226 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5561": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1679 ],
- "Q": [ 4227 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5562": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1682 ],
- "Q": [ 4228 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5563": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1685 ],
- "Q": [ 4229 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5564": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1688 ],
- "Q": [ 4230 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5565": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1691 ],
- "Q": [ 4231 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5566": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1694 ],
- "Q": [ 4232 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5567": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1697 ],
- "Q": [ 4233 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5568": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1700 ],
- "Q": [ 4234 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5569": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1703 ],
- "Q": [ 4235 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5570": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1706 ],
- "Q": [ 4236 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5571": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1709 ],
- "Q": [ 4237 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5572": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1712 ],
- "Q": [ 4238 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5573": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1715 ],
- "Q": [ 4239 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5574": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1718 ],
- "Q": [ 4240 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5575": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1721 ],
- "Q": [ 4241 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5576": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1724 ],
- "Q": [ 4242 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5577": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1727 ],
- "Q": [ 4243 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5578": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1730 ],
- "Q": [ 4244 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5579": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1733 ],
- "Q": [ 4245 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5580": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1736 ],
- "Q": [ 4246 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5581": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1739 ],
- "Q": [ 4247 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5582": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1742 ],
- "Q": [ 4248 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5583": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1745 ],
- "Q": [ 4249 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5584": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1748 ],
- "Q": [ 4250 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5585": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1751 ],
- "Q": [ 4251 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5586": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1754 ],
- "Q": [ 4252 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5587": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1757 ],
- "Q": [ 4253 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5588": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1760 ],
- "Q": [ 4254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5589": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1763 ],
- "Q": [ 4255 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5590": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1766 ],
- "Q": [ 4256 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1769 ],
- "Q": [ 4257 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5592": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1772 ],
- "Q": [ 4258 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5593": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1775 ],
- "Q": [ 4259 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5594": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1778 ],
- "Q": [ 4260 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5595": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1781 ],
- "Q": [ 4261 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5596": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1784 ],
- "Q": [ 4262 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5597": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1787 ],
- "Q": [ 4263 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5598": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1790 ],
- "Q": [ 4264 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5599": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1793 ],
- "Q": [ 4265 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5600": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1796 ],
- "Q": [ 4266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5601": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1799 ],
- "Q": [ 4267 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5602": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1802 ],
- "Q": [ 4268 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5603": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1805 ],
- "Q": [ 4269 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5604": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1808 ],
- "Q": [ 4270 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5605": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1811 ],
- "Q": [ 4271 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5606": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1814 ],
- "Q": [ 4272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5607": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1817 ],
- "Q": [ 4273 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5608": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1820 ],
- "Q": [ 4274 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5609": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1823 ],
- "Q": [ 4275 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5610": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1826 ],
- "Q": [ 4276 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5611": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1829 ],
- "Q": [ 4277 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5612": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1832 ],
- "Q": [ 4278 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5613": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1835 ],
- "Q": [ 4279 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5614": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1838 ],
- "Q": [ 4280 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1841 ],
- "Q": [ 4281 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1844 ],
- "Q": [ 4282 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1847 ],
- "Q": [ 4283 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1850 ],
- "Q": [ 4284 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1853 ],
- "Q": [ 4285 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1856 ],
- "Q": [ 4286 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5621": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1859 ],
- "Q": [ 4287 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1862 ],
- "Q": [ 4288 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5623": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1865 ],
- "Q": [ 4289 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5624": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1868 ],
- "Q": [ 4290 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5625": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1871 ],
- "Q": [ 4291 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5626": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1874 ],
- "Q": [ 4292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5627": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1877 ],
- "Q": [ 4293 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5628": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1880 ],
- "Q": [ 4294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5629": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1883 ],
- "Q": [ 4295 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5630": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1886 ],
- "Q": [ 4296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5631": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1889 ],
- "Q": [ 4297 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5632": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1892 ],
- "Q": [ 4298 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5633": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1895 ],
- "Q": [ 4299 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5634": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1898 ],
- "Q": [ 4300 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5635": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1901 ],
- "Q": [ 4301 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5636": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1904 ],
- "Q": [ 4302 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5637": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1907 ],
- "Q": [ 4303 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5638": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1910 ],
- "Q": [ 4304 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5639": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1913 ],
- "Q": [ 4305 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5640": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1916 ],
- "Q": [ 4306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5641": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1919 ],
- "Q": [ 4307 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5642": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1922 ],
- "Q": [ 4308 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5643": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1925 ],
- "Q": [ 4309 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5644": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1928 ],
- "Q": [ 4310 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5645": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1931 ],
- "Q": [ 4311 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5646": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1934 ],
- "Q": [ 4312 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5647": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1937 ],
- "Q": [ 4313 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5648": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1940 ],
- "Q": [ 4314 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5649": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1943 ],
- "Q": [ 4315 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5650": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1946 ],
- "Q": [ 4316 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5651": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1949 ],
- "Q": [ 4317 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5652": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1952 ],
- "Q": [ 4318 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5653": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1955 ],
- "Q": [ 4319 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5654": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1958 ],
- "Q": [ 4320 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5655": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1961 ],
- "Q": [ 4321 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5656": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1964 ],
- "Q": [ 4322 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5657": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1967 ],
- "Q": [ 4323 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5658": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1970 ],
- "Q": [ 4324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5659": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1973 ],
- "Q": [ 4325 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5660": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1976 ],
- "Q": [ 4326 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5661": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1979 ],
- "Q": [ 4327 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5662": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1982 ],
- "Q": [ 4328 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5663": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1985 ],
- "Q": [ 4329 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5664": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1988 ],
- "Q": [ 4330 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5665": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1991 ],
- "Q": [ 4331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5666": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1994 ],
- "Q": [ 4332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5667": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 1997 ],
- "Q": [ 4333 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5668": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2000 ],
- "Q": [ 4334 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5669": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2003 ],
- "Q": [ 4335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5670": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2006 ],
- "Q": [ 4336 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5671": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2009 ],
- "Q": [ 4337 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5672": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2012 ],
- "Q": [ 4338 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5673": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2015 ],
- "Q": [ 4339 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5674": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2018 ],
- "Q": [ 4340 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5675": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2021 ],
- "Q": [ 4341 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5676": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2024 ],
- "Q": [ 4342 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5677": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2027 ],
- "Q": [ 4343 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5678": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2030 ],
- "Q": [ 4344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5679": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2033 ],
- "Q": [ 4345 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5680": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2036 ],
- "Q": [ 4346 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5681": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2039 ],
- "Q": [ 4347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5682": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2042 ],
- "Q": [ 4348 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5683": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2045 ],
- "Q": [ 4349 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5684": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2048 ],
- "Q": [ 4350 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5685": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2051 ],
- "Q": [ 4351 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5686": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2054 ],
- "Q": [ 4352 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5687": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2057 ],
- "Q": [ 4353 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5688": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2060 ],
- "Q": [ 4354 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5689": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2063 ],
- "Q": [ 4355 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5690": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2066 ],
- "Q": [ 4356 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5691": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2069 ],
- "Q": [ 4357 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5692": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2072 ],
- "Q": [ 4358 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5693": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2075 ],
- "Q": [ 4359 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5694": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2078 ],
- "Q": [ 4360 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5695": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2081 ],
- "Q": [ 4361 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5696": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2084 ],
- "Q": [ 4362 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5697": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2087 ],
- "Q": [ 4363 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5698": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2090 ],
- "Q": [ 4364 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5699": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2093 ],
- "Q": [ 4365 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5700": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2096 ],
- "Q": [ 4366 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5701": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2099 ],
- "Q": [ 4367 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5702": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2102 ],
- "Q": [ 4368 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5703": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2105 ],
- "Q": [ 4369 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5704": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2108 ],
- "Q": [ 4370 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5705": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2111 ],
- "Q": [ 4371 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5706": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2114 ],
- "Q": [ 4372 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5707": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2117 ],
- "Q": [ 4373 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5708": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2120 ],
- "Q": [ 4374 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5709": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2123 ],
- "Q": [ 4375 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5710": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2126 ],
- "Q": [ 4376 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5711": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2129 ],
- "Q": [ 4377 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5712": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2132 ],
- "Q": [ 4378 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5713": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2135 ],
- "Q": [ 4379 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5714": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2138 ],
- "Q": [ 4380 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5715": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2141 ],
- "Q": [ 4381 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5716": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2144 ],
- "Q": [ 4382 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5717": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2147 ],
- "Q": [ 4383 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5718": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2150 ],
- "Q": [ 4384 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5719": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2151 ],
- "Q": [ 4385 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5720": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2152 ],
- "Q": [ 4386 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5721": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2153 ],
- "Q": [ 4387 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5722": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2154 ],
- "Q": [ 4388 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5723": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2155 ],
- "Q": [ 4389 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5724": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2156 ],
- "Q": [ 4390 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5725": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2157 ],
- "Q": [ 4391 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5726": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2158 ],
- "Q": [ 4392 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5727": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2159 ],
- "Q": [ 4393 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5728": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2160 ],
- "Q": [ 4394 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5729": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2161 ],
- "Q": [ 4395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5730": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2162 ],
- "Q": [ 4396 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5731": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2163 ],
- "Q": [ 4397 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5732": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2164 ],
- "Q": [ 4398 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5733": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2165 ],
- "Q": [ 4399 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5734": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4400 ],
- "Q": [ 1255 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5735": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4401 ],
- "Q": [ 1262 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5736": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4402 ],
- "Q": [ 1267 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5737": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4403 ],
- "Q": [ 1272 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5738": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4404 ],
- "Q": [ 1277 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5739": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4405 ],
- "Q": [ 1282 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5740": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4406 ],
- "Q": [ 1287 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5741": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4407 ],
- "Q": [ 1292 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5742": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4408 ],
- "Q": [ 1297 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5743": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4409 ],
- "Q": [ 1302 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5744": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4410 ],
- "Q": [ 1307 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5745": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4411 ],
- "Q": [ 1312 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5746": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4412 ],
- "Q": [ 1317 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5747": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4413 ],
- "Q": [ 1322 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5748": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4414 ],
- "Q": [ 1327 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5749": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4415 ],
- "Q": [ 1332 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5750": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4416 ],
- "Q": [ 1250 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5751": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4417 ],
- "Q": [ 1259 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5752": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4418 ],
- "Q": [ 1264 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5753": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4419 ],
- "Q": [ 1269 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5754": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4420 ],
- "Q": [ 1274 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5755": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4421 ],
- "Q": [ 1279 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5756": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4422 ],
- "Q": [ 1284 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5757": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4423 ],
- "Q": [ 1289 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5758": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4424 ],
- "Q": [ 1294 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5759": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4425 ],
- "Q": [ 1299 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5760": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4426 ],
- "Q": [ 1304 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5761": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4427 ],
- "Q": [ 1309 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5762": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4428 ],
- "Q": [ 1314 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5763": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4429 ],
- "Q": [ 1319 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5764": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4430 ],
- "Q": [ 1324 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5765": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4431 ],
- "Q": [ 1329 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5766": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4432 ],
- "Q": [ 1254 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5767": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4433 ],
- "Q": [ 1261 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5768": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4434 ],
- "Q": [ 1266 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5769": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4435 ],
- "Q": [ 1271 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5770": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4436 ],
- "Q": [ 1276 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5771": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4437 ],
- "Q": [ 1281 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5772": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4438 ],
- "Q": [ 1286 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5773": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4439 ],
- "Q": [ 1291 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5774": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4440 ],
- "Q": [ 1296 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5775": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4441 ],
- "Q": [ 1301 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5776": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4442 ],
- "Q": [ 1306 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5777": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4443 ],
- "Q": [ 1311 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5778": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4444 ],
- "Q": [ 1316 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5779": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4445 ],
- "Q": [ 1321 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5780": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4446 ],
- "Q": [ 1326 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5781": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4447 ],
- "Q": [ 1331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5782": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4448 ],
- "Q": [ 1335 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5783": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4449 ],
- "Q": [ 1338 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5784": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4450 ],
- "Q": [ 1341 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5785": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4451 ],
- "Q": [ 1344 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5786": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4452 ],
- "Q": [ 1347 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5787": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4453 ],
- "Q": [ 1350 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5788": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4454 ],
- "Q": [ 1353 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5789": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4455 ],
- "Q": [ 1356 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5790": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4456 ],
- "Q": [ 1359 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5791": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4457 ],
- "Q": [ 1362 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5792": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4458 ],
- "Q": [ 1365 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5793": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4459 ],
- "Q": [ 1368 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5794": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4460 ],
- "Q": [ 1371 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5795": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4461 ],
- "Q": [ 1374 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5796": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4462 ],
- "Q": [ 1377 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5797": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4463 ],
- "Q": [ 1380 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5798": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4464 ],
- "Q": [ 1383 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5799": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4465 ],
- "Q": [ 1386 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5800": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4466 ],
- "Q": [ 1389 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5801": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4467 ],
- "Q": [ 1392 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5802": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4468 ],
- "Q": [ 1395 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5803": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4469 ],
- "Q": [ 1398 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5804": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4470 ],
- "Q": [ 1401 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5805": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4471 ],
- "Q": [ 1404 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5806": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4472 ],
- "Q": [ 1407 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5807": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4473 ],
- "Q": [ 1410 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5808": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4474 ],
- "Q": [ 1413 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5809": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4475 ],
- "Q": [ 1416 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5810": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4476 ],
- "Q": [ 1419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5811": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4477 ],
- "Q": [ 1422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5812": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4478 ],
- "Q": [ 1425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5813": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4479 ],
- "Q": [ 1428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5814": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4480 ],
- "Q": [ 1431 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5815": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4481 ],
- "Q": [ 1434 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5816": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4482 ],
- "Q": [ 1437 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5817": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4483 ],
- "Q": [ 1440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5818": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4484 ],
- "Q": [ 1443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5819": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4485 ],
- "Q": [ 1446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5820": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4486 ],
- "Q": [ 1449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5821": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4487 ],
- "Q": [ 1452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5822": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4488 ],
- "Q": [ 1455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5823": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4489 ],
- "Q": [ 1458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5824": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4490 ],
- "Q": [ 1461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5825": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4491 ],
- "Q": [ 1464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5826": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4492 ],
- "Q": [ 1467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5827": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4493 ],
- "Q": [ 1470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5828": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4494 ],
- "Q": [ 1473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5829": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4495 ],
- "Q": [ 1476 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5830": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4496 ],
- "Q": [ 1479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5831": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4497 ],
- "Q": [ 1482 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5832": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4498 ],
- "Q": [ 1485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5833": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4499 ],
- "Q": [ 1488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5834": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4500 ],
- "Q": [ 1491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5835": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4501 ],
- "Q": [ 1494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5836": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4502 ],
- "Q": [ 1497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5837": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4503 ],
- "Q": [ 1500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5838": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4504 ],
- "Q": [ 1503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5839": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4505 ],
- "Q": [ 1506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5840": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4506 ],
- "Q": [ 1509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5841": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4507 ],
- "Q": [ 1512 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5842": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4508 ],
- "Q": [ 1515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5843": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4509 ],
- "Q": [ 1518 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5844": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4510 ],
- "Q": [ 1521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5845": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4511 ],
- "Q": [ 1524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5846": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4512 ],
- "Q": [ 1527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5847": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4513 ],
- "Q": [ 1530 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5848": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4514 ],
- "Q": [ 1533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5849": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4515 ],
- "Q": [ 1536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5850": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4516 ],
- "Q": [ 1539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5851": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4517 ],
- "Q": [ 1542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5852": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4518 ],
- "Q": [ 1545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5853": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4519 ],
- "Q": [ 1548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5854": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4520 ],
- "Q": [ 1551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5855": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4521 ],
- "Q": [ 1554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5856": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4522 ],
- "Q": [ 1557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5857": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4523 ],
- "Q": [ 1560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5858": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4524 ],
- "Q": [ 1563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5859": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4525 ],
- "Q": [ 1566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5860": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4526 ],
- "Q": [ 1569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5861": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4527 ],
- "Q": [ 1572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5862": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4528 ],
- "Q": [ 1575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5863": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4529 ],
- "Q": [ 1578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5864": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4530 ],
- "Q": [ 1581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5865": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4531 ],
- "Q": [ 1584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5866": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4532 ],
- "Q": [ 1587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5867": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4533 ],
- "Q": [ 1590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5868": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4534 ],
- "Q": [ 1593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5869": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4535 ],
- "Q": [ 1596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5870": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4536 ],
- "Q": [ 1599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5871": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4537 ],
- "Q": [ 1602 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5872": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4538 ],
- "Q": [ 1605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5873": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4539 ],
- "Q": [ 1608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5874": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4540 ],
- "Q": [ 1611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5875": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4541 ],
- "Q": [ 1614 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5876": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4542 ],
- "Q": [ 1617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5877": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4543 ],
- "Q": [ 1620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5878": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4544 ],
- "Q": [ 1623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5879": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4545 ],
- "Q": [ 1626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5880": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4546 ],
- "Q": [ 1629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5881": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4547 ],
- "Q": [ 1632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5882": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4548 ],
- "Q": [ 1635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5883": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4549 ],
- "Q": [ 1638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5884": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4550 ],
- "Q": [ 1641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5885": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4551 ],
- "Q": [ 1644 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5886": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4552 ],
- "Q": [ 1647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5887": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4553 ],
- "Q": [ 1650 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5888": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4554 ],
- "Q": [ 1653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5889": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4555 ],
- "Q": [ 1656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5890": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4556 ],
- "Q": [ 1659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5891": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4557 ],
- "Q": [ 1662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5892": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4558 ],
- "Q": [ 1665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5893": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4559 ],
- "Q": [ 1668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5894": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4560 ],
- "Q": [ 1671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5895": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4561 ],
- "Q": [ 1674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5896": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4562 ],
- "Q": [ 1677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5897": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4563 ],
- "Q": [ 1680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5898": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4564 ],
- "Q": [ 1683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5899": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4565 ],
- "Q": [ 1686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5900": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4566 ],
- "Q": [ 1689 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5901": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4567 ],
- "Q": [ 1692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5902": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4568 ],
- "Q": [ 1695 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5903": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4569 ],
- "Q": [ 1698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5904": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4570 ],
- "Q": [ 1701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5905": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4571 ],
- "Q": [ 1704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5906": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4572 ],
- "Q": [ 1707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5907": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4573 ],
- "Q": [ 1710 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5908": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4574 ],
- "Q": [ 1713 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5909": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4575 ],
- "Q": [ 1716 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5910": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4576 ],
- "Q": [ 1719 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5911": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4577 ],
- "Q": [ 1722 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5912": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4578 ],
- "Q": [ 1725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5913": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4579 ],
- "Q": [ 1728 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5914": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4580 ],
- "Q": [ 1731 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5915": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4581 ],
- "Q": [ 1734 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5916": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4582 ],
- "Q": [ 1737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5917": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4583 ],
- "Q": [ 1740 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5918": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4584 ],
- "Q": [ 1743 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5919": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4585 ],
- "Q": [ 1746 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5920": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4586 ],
- "Q": [ 1749 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5921": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4587 ],
- "Q": [ 1752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5922": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4588 ],
- "Q": [ 1755 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5923": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4589 ],
- "Q": [ 1758 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5924": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4590 ],
- "Q": [ 1761 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5925": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4591 ],
- "Q": [ 1764 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5926": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4592 ],
- "Q": [ 1767 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5927": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4593 ],
- "Q": [ 1770 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5928": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4594 ],
- "Q": [ 1773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5929": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4595 ],
- "Q": [ 1776 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5930": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4596 ],
- "Q": [ 1779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5931": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4597 ],
- "Q": [ 1782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5932": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4598 ],
- "Q": [ 1785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5933": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4599 ],
- "Q": [ 1788 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5934": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4600 ],
- "Q": [ 1791 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5935": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4601 ],
- "Q": [ 1794 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5936": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4602 ],
- "Q": [ 1797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5937": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4603 ],
- "Q": [ 1800 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5938": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4604 ],
- "Q": [ 1803 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5939": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4605 ],
- "Q": [ 1806 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5940": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4606 ],
- "Q": [ 1809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5941": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4607 ],
- "Q": [ 1812 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5942": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4608 ],
- "Q": [ 1815 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5943": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4609 ],
- "Q": [ 1818 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5944": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4610 ],
- "Q": [ 1821 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5945": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4611 ],
- "Q": [ 1824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5946": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4612 ],
- "Q": [ 1827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5947": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4613 ],
- "Q": [ 1830 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5948": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4614 ],
- "Q": [ 1833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5949": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4615 ],
- "Q": [ 1836 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5950": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4616 ],
- "Q": [ 1839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5951": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4617 ],
- "Q": [ 1842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5952": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4618 ],
- "Q": [ 1845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5953": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4619 ],
- "Q": [ 1848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5954": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4620 ],
- "Q": [ 1851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5955": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4621 ],
- "Q": [ 1854 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5956": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4622 ],
- "Q": [ 1857 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5957": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4623 ],
- "Q": [ 1860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5958": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4624 ],
- "Q": [ 1863 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5959": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4625 ],
- "Q": [ 1866 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5960": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4626 ],
- "Q": [ 1869 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5961": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4627 ],
- "Q": [ 1872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5962": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4628 ],
- "Q": [ 1875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5963": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4629 ],
- "Q": [ 1878 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5964": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4630 ],
- "Q": [ 1881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5965": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4631 ],
- "Q": [ 1884 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5966": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4632 ],
- "Q": [ 1887 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5967": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4633 ],
- "Q": [ 1890 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5968": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4634 ],
- "Q": [ 1893 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5969": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4635 ],
- "Q": [ 1896 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5970": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4636 ],
- "Q": [ 1899 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5971": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4637 ],
- "Q": [ 1902 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5972": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4638 ],
- "Q": [ 1905 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5973": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4639 ],
- "Q": [ 1908 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5974": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4640 ],
- "Q": [ 1911 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5975": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4641 ],
- "Q": [ 1914 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5976": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4642 ],
- "Q": [ 1917 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5977": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4643 ],
- "Q": [ 1920 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5978": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4644 ],
- "Q": [ 1923 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5979": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4645 ],
- "Q": [ 1926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5980": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4646 ],
- "Q": [ 1929 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5981": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4647 ],
- "Q": [ 1932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5982": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4648 ],
- "Q": [ 1935 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5983": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4649 ],
- "Q": [ 1938 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5984": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4650 ],
- "Q": [ 1941 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5985": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4651 ],
- "Q": [ 1944 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5986": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4652 ],
- "Q": [ 1947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5987": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4653 ],
- "Q": [ 1950 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5988": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4654 ],
- "Q": [ 1953 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5989": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4655 ],
- "Q": [ 1956 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5990": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4656 ],
- "Q": [ 1959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5991": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4657 ],
- "Q": [ 1962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5992": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4658 ],
- "Q": [ 1965 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5993": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4659 ],
- "Q": [ 1968 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5994": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4660 ],
- "Q": [ 1971 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5995": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4661 ],
- "Q": [ 1974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5996": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4662 ],
- "Q": [ 1977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5997": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4663 ],
- "Q": [ 1980 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5998": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4664 ],
- "Q": [ 1983 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$5999": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4665 ],
- "Q": [ 1986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6000": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4666 ],
- "Q": [ 1989 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6001": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4667 ],
- "Q": [ 1992 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6002": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4668 ],
- "Q": [ 1995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6003": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4669 ],
- "Q": [ 1998 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6004": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4670 ],
- "Q": [ 2001 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6005": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4671 ],
- "Q": [ 2004 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6006": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4672 ],
- "Q": [ 2007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6007": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4673 ],
- "Q": [ 2010 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6008": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4674 ],
- "Q": [ 2013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6009": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4675 ],
- "Q": [ 2016 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6010": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4676 ],
- "Q": [ 2019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6011": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4677 ],
- "Q": [ 2022 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6012": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4678 ],
- "Q": [ 2025 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6013": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4679 ],
- "Q": [ 2028 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6014": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4680 ],
- "Q": [ 2031 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6015": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4681 ],
- "Q": [ 2034 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6016": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4682 ],
- "Q": [ 2037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6017": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4683 ],
- "Q": [ 2040 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6018": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4684 ],
- "Q": [ 2043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6019": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4685 ],
- "Q": [ 2046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6020": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4686 ],
- "Q": [ 2049 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6021": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4687 ],
- "Q": [ 2052 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6022": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4688 ],
- "Q": [ 2055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6023": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4689 ],
- "Q": [ 2058 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6024": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4690 ],
- "Q": [ 2061 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6025": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4691 ],
- "Q": [ 2064 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6026": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4692 ],
- "Q": [ 2067 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6027": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4693 ],
- "Q": [ 2070 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6028": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4694 ],
- "Q": [ 2073 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6029": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4695 ],
- "Q": [ 2076 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6030": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4696 ],
- "Q": [ 2079 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6031": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4697 ],
- "Q": [ 2082 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6032": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4698 ],
- "Q": [ 2085 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6033": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4699 ],
- "Q": [ 2088 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6034": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4700 ],
- "Q": [ 2091 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6035": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4701 ],
- "Q": [ 2094 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6036": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4702 ],
- "Q": [ 2097 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6037": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4703 ],
- "Q": [ 2100 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6038": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4704 ],
- "Q": [ 2103 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6039": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4705 ],
- "Q": [ 2106 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6040": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4706 ],
- "Q": [ 2109 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6041": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4707 ],
- "Q": [ 2112 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6042": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4708 ],
- "Q": [ 2115 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6043": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4709 ],
- "Q": [ 2118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6044": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4710 ],
- "Q": [ 2121 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6045": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4711 ],
- "Q": [ 2124 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6046": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4712 ],
- "Q": [ 2127 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6047": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4713 ],
- "Q": [ 2130 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6048": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4714 ],
- "Q": [ 2133 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6049": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4715 ],
- "Q": [ 2136 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6050": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4716 ],
- "Q": [ 2139 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6051": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4717 ],
- "Q": [ 2142 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6052": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4718 ],
- "Q": [ 2145 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6053": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4719 ],
- "Q": [ 2148 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6054": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4720 ],
- "Q": [ 4400 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6055": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4721 ],
- "Q": [ 4401 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6056": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4722 ],
- "Q": [ 4402 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6057": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4723 ],
- "Q": [ 4403 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6058": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4724 ],
- "Q": [ 4404 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6059": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4725 ],
- "Q": [ 4405 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6060": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4726 ],
- "Q": [ 4406 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6061": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4727 ],
- "Q": [ 4407 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6062": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4728 ],
- "Q": [ 4408 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6063": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4729 ],
- "Q": [ 4409 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6064": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4730 ],
- "Q": [ 4410 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6065": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4731 ],
- "Q": [ 4411 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6066": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4732 ],
- "Q": [ 4412 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6067": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4733 ],
- "Q": [ 4413 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6068": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4734 ],
- "Q": [ 4414 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6069": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4735 ],
- "Q": [ 4415 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6070": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4736 ],
- "Q": [ 4416 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6071": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4737 ],
- "Q": [ 4417 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6072": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4738 ],
- "Q": [ 4418 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6073": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4739 ],
- "Q": [ 4419 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6074": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4740 ],
- "Q": [ 4420 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6075": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4741 ],
- "Q": [ 4421 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6076": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4742 ],
- "Q": [ 4422 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6077": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4743 ],
- "Q": [ 4423 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6078": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4744 ],
- "Q": [ 4424 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6079": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4745 ],
- "Q": [ 4425 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6080": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4746 ],
- "Q": [ 4426 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6081": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4747 ],
- "Q": [ 4427 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6082": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4748 ],
- "Q": [ 4428 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6083": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4749 ],
- "Q": [ 4429 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6084": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4750 ],
- "Q": [ 4430 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6085": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4751 ],
- "Q": [ 4431 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6086": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4752 ],
- "Q": [ 4432 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6087": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4753 ],
- "Q": [ 4433 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6088": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4754 ],
- "Q": [ 4434 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6089": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4755 ],
- "Q": [ 4435 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6090": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4756 ],
- "Q": [ 4436 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6091": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4757 ],
- "Q": [ 4437 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6092": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4758 ],
- "Q": [ 4438 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6093": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4759 ],
- "Q": [ 4439 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6094": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4760 ],
- "Q": [ 4440 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6095": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4761 ],
- "Q": [ 4441 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6096": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4762 ],
- "Q": [ 4442 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6097": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4763 ],
- "Q": [ 4443 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6098": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4764 ],
- "Q": [ 4444 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6099": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4765 ],
- "Q": [ 4445 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6100": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4766 ],
- "Q": [ 4446 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6101": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4767 ],
- "Q": [ 4447 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6102": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4768 ],
- "Q": [ 4448 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6103": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4769 ],
- "Q": [ 4449 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6104": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4770 ],
- "Q": [ 4450 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6105": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4771 ],
- "Q": [ 4451 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6106": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4772 ],
- "Q": [ 4452 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6107": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4773 ],
- "Q": [ 4453 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6108": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4774 ],
- "Q": [ 4454 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6109": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4775 ],
- "Q": [ 4455 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6110": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4776 ],
- "Q": [ 4456 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6111": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4777 ],
- "Q": [ 4457 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6112": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4778 ],
- "Q": [ 4458 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6113": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4779 ],
- "Q": [ 4459 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6114": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4780 ],
- "Q": [ 4460 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6115": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4781 ],
- "Q": [ 4461 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6116": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4782 ],
- "Q": [ 4462 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6117": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4783 ],
- "Q": [ 4463 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6118": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4784 ],
- "Q": [ 4464 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6119": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4785 ],
- "Q": [ 4465 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6120": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4786 ],
- "Q": [ 4466 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6121": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4787 ],
- "Q": [ 4467 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6122": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4788 ],
- "Q": [ 4468 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6123": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4789 ],
- "Q": [ 4469 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6124": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4790 ],
- "Q": [ 4470 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6125": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4791 ],
- "Q": [ 4471 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6126": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4792 ],
- "Q": [ 4472 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6127": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4793 ],
- "Q": [ 4473 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6128": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4794 ],
- "Q": [ 4474 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6129": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4795 ],
- "Q": [ 4475 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6130": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4796 ],
- "Q": [ 4476 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6131": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4797 ],
- "Q": [ 4477 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6132": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4798 ],
- "Q": [ 4478 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6133": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4799 ],
- "Q": [ 4479 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6134": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4800 ],
- "Q": [ 4480 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6135": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4801 ],
- "Q": [ 4481 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6136": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4802 ],
- "Q": [ 4482 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6137": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4803 ],
- "Q": [ 4483 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6138": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4804 ],
- "Q": [ 4484 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6139": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4805 ],
- "Q": [ 4485 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6140": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4806 ],
- "Q": [ 4486 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6141": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4807 ],
- "Q": [ 4487 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6142": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4808 ],
- "Q": [ 4488 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6143": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4809 ],
- "Q": [ 4489 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6144": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4810 ],
- "Q": [ 4490 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6145": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4811 ],
- "Q": [ 4491 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6146": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4812 ],
- "Q": [ 4492 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6147": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4813 ],
- "Q": [ 4493 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6148": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4814 ],
- "Q": [ 4494 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6149": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4815 ],
- "Q": [ 4495 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6150": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4816 ],
- "Q": [ 4496 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6151": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4817 ],
- "Q": [ 4497 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6152": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4818 ],
- "Q": [ 4498 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6153": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4819 ],
- "Q": [ 4499 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6154": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4820 ],
- "Q": [ 4500 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6155": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4821 ],
- "Q": [ 4501 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6156": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4822 ],
- "Q": [ 4502 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6157": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4823 ],
- "Q": [ 4503 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6158": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4824 ],
- "Q": [ 4504 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6159": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4825 ],
- "Q": [ 4505 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6160": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4826 ],
- "Q": [ 4506 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6161": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4827 ],
- "Q": [ 4507 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6162": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4828 ],
- "Q": [ 4508 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6163": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4829 ],
- "Q": [ 4509 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6164": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4830 ],
- "Q": [ 4510 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6165": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4831 ],
- "Q": [ 4511 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6166": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4832 ],
- "Q": [ 4512 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6167": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4833 ],
- "Q": [ 4513 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6168": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4834 ],
- "Q": [ 4514 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6169": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4835 ],
- "Q": [ 4515 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6170": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4836 ],
- "Q": [ 4516 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6171": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4837 ],
- "Q": [ 4517 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6172": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4838 ],
- "Q": [ 4518 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6173": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4839 ],
- "Q": [ 4519 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6174": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4840 ],
- "Q": [ 4520 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6175": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4841 ],
- "Q": [ 4521 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6176": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4842 ],
- "Q": [ 4522 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6177": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4843 ],
- "Q": [ 4523 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6178": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4844 ],
- "Q": [ 4524 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6179": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4845 ],
- "Q": [ 4525 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6180": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4846 ],
- "Q": [ 4526 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6181": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4847 ],
- "Q": [ 4527 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6182": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4848 ],
- "Q": [ 4528 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6183": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4849 ],
- "Q": [ 4529 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6184": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4850 ],
- "Q": [ 4530 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6185": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4851 ],
- "Q": [ 4531 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6186": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4852 ],
- "Q": [ 4532 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6187": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4853 ],
- "Q": [ 4533 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6188": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4854 ],
- "Q": [ 4534 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6189": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4855 ],
- "Q": [ 4535 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6190": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4856 ],
- "Q": [ 4536 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6191": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4857 ],
- "Q": [ 4537 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6192": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4858 ],
- "Q": [ 4538 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6193": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4859 ],
- "Q": [ 4539 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6194": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4860 ],
- "Q": [ 4540 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6195": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4861 ],
- "Q": [ 4541 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6196": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4862 ],
- "Q": [ 4542 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6197": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4863 ],
- "Q": [ 4543 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6198": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4864 ],
- "Q": [ 4544 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6199": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4865 ],
- "Q": [ 4545 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6200": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4866 ],
- "Q": [ 4546 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6201": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4867 ],
- "Q": [ 4547 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6202": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4868 ],
- "Q": [ 4548 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6203": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4869 ],
- "Q": [ 4549 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6204": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4870 ],
- "Q": [ 4550 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6205": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4871 ],
- "Q": [ 4551 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6206": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4872 ],
- "Q": [ 4552 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6207": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4873 ],
- "Q": [ 4553 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6208": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4874 ],
- "Q": [ 4554 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6209": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4875 ],
- "Q": [ 4555 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6210": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4876 ],
- "Q": [ 4556 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6211": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4877 ],
- "Q": [ 4557 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6212": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4878 ],
- "Q": [ 4558 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6213": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4879 ],
- "Q": [ 4559 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6214": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4880 ],
- "Q": [ 4560 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6215": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4881 ],
- "Q": [ 4561 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6216": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4882 ],
- "Q": [ 4562 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6217": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4883 ],
- "Q": [ 4563 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6218": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4884 ],
- "Q": [ 4564 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6219": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4885 ],
- "Q": [ 4565 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6220": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4886 ],
- "Q": [ 4566 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6221": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4887 ],
- "Q": [ 4567 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6222": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4888 ],
- "Q": [ 4568 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6223": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4889 ],
- "Q": [ 4569 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6224": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4890 ],
- "Q": [ 4570 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6225": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4891 ],
- "Q": [ 4571 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6226": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4892 ],
- "Q": [ 4572 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6227": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4893 ],
- "Q": [ 4573 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6228": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4894 ],
- "Q": [ 4574 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6229": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4895 ],
- "Q": [ 4575 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6230": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4896 ],
- "Q": [ 4576 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6231": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4897 ],
- "Q": [ 4577 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6232": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4898 ],
- "Q": [ 4578 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6233": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4899 ],
- "Q": [ 4579 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6234": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4900 ],
- "Q": [ 4580 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6235": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4901 ],
- "Q": [ 4581 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6236": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4902 ],
- "Q": [ 4582 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6237": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4903 ],
- "Q": [ 4583 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6238": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4904 ],
- "Q": [ 4584 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6239": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4905 ],
- "Q": [ 4585 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6240": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4906 ],
- "Q": [ 4586 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6241": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4907 ],
- "Q": [ 4587 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6242": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4908 ],
- "Q": [ 4588 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6243": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4909 ],
- "Q": [ 4589 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6244": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4910 ],
- "Q": [ 4590 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6245": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4911 ],
- "Q": [ 4591 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6246": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4912 ],
- "Q": [ 4592 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6247": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4913 ],
- "Q": [ 4593 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6248": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4914 ],
- "Q": [ 4594 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6249": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4915 ],
- "Q": [ 4595 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6250": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4916 ],
- "Q": [ 4596 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6251": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4917 ],
- "Q": [ 4597 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6252": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4918 ],
- "Q": [ 4598 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6253": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4919 ],
- "Q": [ 4599 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6254": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4920 ],
- "Q": [ 4600 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6255": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4921 ],
- "Q": [ 4601 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6256": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4922 ],
- "Q": [ 4602 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6257": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4923 ],
- "Q": [ 4603 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6258": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4924 ],
- "Q": [ 4604 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6259": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4925 ],
- "Q": [ 4605 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6260": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4926 ],
- "Q": [ 4606 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6261": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4927 ],
- "Q": [ 4607 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6262": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4928 ],
- "Q": [ 4608 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6263": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4929 ],
- "Q": [ 4609 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6264": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4930 ],
- "Q": [ 4610 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6265": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4931 ],
- "Q": [ 4611 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6266": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4932 ],
- "Q": [ 4612 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6267": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4933 ],
- "Q": [ 4613 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6268": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4934 ],
- "Q": [ 4614 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6269": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4935 ],
- "Q": [ 4615 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6270": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4936 ],
- "Q": [ 4616 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6271": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4937 ],
- "Q": [ 4617 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6272": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4938 ],
- "Q": [ 4618 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6273": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4939 ],
- "Q": [ 4619 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6274": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4940 ],
- "Q": [ 4620 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6275": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4941 ],
- "Q": [ 4621 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6276": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4942 ],
- "Q": [ 4622 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6277": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4943 ],
- "Q": [ 4623 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6278": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4944 ],
- "Q": [ 4624 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6279": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4945 ],
- "Q": [ 4625 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6280": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4946 ],
- "Q": [ 4626 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6281": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4947 ],
- "Q": [ 4627 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6282": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4948 ],
- "Q": [ 4628 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6283": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4949 ],
- "Q": [ 4629 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6284": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4950 ],
- "Q": [ 4630 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6285": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4951 ],
- "Q": [ 4631 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6286": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4952 ],
- "Q": [ 4632 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6287": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4953 ],
- "Q": [ 4633 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6288": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4954 ],
- "Q": [ 4634 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6289": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4955 ],
- "Q": [ 4635 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6290": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4956 ],
- "Q": [ 4636 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6291": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4957 ],
- "Q": [ 4637 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6292": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4958 ],
- "Q": [ 4638 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6293": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4959 ],
- "Q": [ 4639 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6294": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4960 ],
- "Q": [ 4640 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6295": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4961 ],
- "Q": [ 4641 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6296": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4962 ],
- "Q": [ 4642 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6297": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4963 ],
- "Q": [ 4643 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6298": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4964 ],
- "Q": [ 4644 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6299": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4965 ],
- "Q": [ 4645 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6300": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4966 ],
- "Q": [ 4646 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6301": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4967 ],
- "Q": [ 4647 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6302": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4968 ],
- "Q": [ 4648 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6303": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4969 ],
- "Q": [ 4649 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6304": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4970 ],
- "Q": [ 4650 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6305": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4971 ],
- "Q": [ 4651 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6306": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4972 ],
- "Q": [ 4652 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6307": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4973 ],
- "Q": [ 4653 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6308": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4974 ],
- "Q": [ 4654 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6309": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4975 ],
- "Q": [ 4655 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6310": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4976 ],
- "Q": [ 4656 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6311": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4977 ],
- "Q": [ 4657 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6312": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4978 ],
- "Q": [ 4658 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6313": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4979 ],
- "Q": [ 4659 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6314": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4980 ],
- "Q": [ 4660 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6315": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4981 ],
- "Q": [ 4661 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6316": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4982 ],
- "Q": [ 4662 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6317": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4983 ],
- "Q": [ 4663 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6318": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4984 ],
- "Q": [ 4664 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6319": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4985 ],
- "Q": [ 4665 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6320": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4986 ],
- "Q": [ 4666 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6321": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4987 ],
- "Q": [ 4667 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6322": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4988 ],
- "Q": [ 4668 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6323": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4989 ],
- "Q": [ 4669 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6324": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4990 ],
- "Q": [ 4670 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6325": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4991 ],
- "Q": [ 4671 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6326": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4992 ],
- "Q": [ 4672 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6327": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4993 ],
- "Q": [ 4673 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6328": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4994 ],
- "Q": [ 4674 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6329": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4995 ],
- "Q": [ 4675 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6330": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4996 ],
- "Q": [ 4676 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6331": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4997 ],
- "Q": [ 4677 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6332": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4998 ],
- "Q": [ 4678 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6333": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4999 ],
- "Q": [ 4679 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6334": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5000 ],
- "Q": [ 4680 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6335": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5001 ],
- "Q": [ 4681 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6336": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5002 ],
- "Q": [ 4682 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6337": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5003 ],
- "Q": [ 4683 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6338": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5004 ],
- "Q": [ 4684 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6339": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5005 ],
- "Q": [ 4685 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6340": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5006 ],
- "Q": [ 4686 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6341": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5007 ],
- "Q": [ 4687 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6342": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5008 ],
- "Q": [ 4688 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6343": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5009 ],
- "Q": [ 4689 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6344": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5010 ],
- "Q": [ 4690 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6345": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5011 ],
- "Q": [ 4691 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6346": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5012 ],
- "Q": [ 4692 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6347": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5013 ],
- "Q": [ 4693 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6348": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5014 ],
- "Q": [ 4694 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6349": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5015 ],
- "Q": [ 4695 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6350": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5016 ],
- "Q": [ 4696 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6351": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5017 ],
- "Q": [ 4697 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6352": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5018 ],
- "Q": [ 4698 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6353": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5019 ],
- "Q": [ 4699 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6354": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5020 ],
- "Q": [ 4700 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6355": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5021 ],
- "Q": [ 4701 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6356": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5022 ],
- "Q": [ 4702 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6357": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5023 ],
- "Q": [ 4703 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6358": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5024 ],
- "Q": [ 4704 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6359": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5025 ],
- "Q": [ 4705 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6360": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5026 ],
- "Q": [ 4706 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6361": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5027 ],
- "Q": [ 4707 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6362": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5028 ],
- "Q": [ 4708 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6363": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5029 ],
- "Q": [ 4709 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6364": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5030 ],
- "Q": [ 4710 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6365": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5031 ],
- "Q": [ 4711 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6366": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5032 ],
- "Q": [ 4712 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6367": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5033 ],
- "Q": [ 4713 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6368": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5034 ],
- "Q": [ 4714 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6369": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5035 ],
- "Q": [ 4715 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6370": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5036 ],
- "Q": [ 4716 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6371": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5037 ],
- "Q": [ 4717 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6372": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5038 ],
- "Q": [ 4718 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6373": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5039 ],
- "Q": [ 4719 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6374": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5040 ],
- "Q": [ 4720 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6375": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5041 ],
- "Q": [ 4721 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6376": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5042 ],
- "Q": [ 4722 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6377": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5043 ],
- "Q": [ 4723 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6378": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5044 ],
- "Q": [ 4724 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6379": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5045 ],
- "Q": [ 4725 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6380": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5046 ],
- "Q": [ 4726 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6381": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5047 ],
- "Q": [ 4727 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6382": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5048 ],
- "Q": [ 4728 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6383": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5049 ],
- "Q": [ 4729 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6384": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5050 ],
- "Q": [ 4730 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6385": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5051 ],
- "Q": [ 4731 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6386": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5052 ],
- "Q": [ 4732 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6387": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5053 ],
- "Q": [ 4733 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6388": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5054 ],
- "Q": [ 4734 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6389": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 5055 ],
- "Q": [ 4735 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6390": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4096 ],
- "Q": [ 4736 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6391": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4097 ],
- "Q": [ 4737 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6392": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4098 ],
- "Q": [ 4738 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6393": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4099 ],
- "Q": [ 4739 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6394": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4100 ],
- "Q": [ 4740 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6395": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4101 ],
- "Q": [ 4741 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6396": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4102 ],
- "Q": [ 4742 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6397": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4103 ],
- "Q": [ 4743 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6398": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4104 ],
- "Q": [ 4744 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6399": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4105 ],
- "Q": [ 4745 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6400": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4106 ],
- "Q": [ 4746 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6401": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4107 ],
- "Q": [ 4747 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6402": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4108 ],
- "Q": [ 4748 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6403": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4109 ],
- "Q": [ 4749 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6404": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4110 ],
- "Q": [ 4750 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6405": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4111 ],
- "Q": [ 4751 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6406": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4112 ],
- "Q": [ 4752 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6407": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4113 ],
- "Q": [ 4753 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6408": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4114 ],
- "Q": [ 4754 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6409": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4115 ],
- "Q": [ 4755 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6410": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4116 ],
- "Q": [ 4756 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6411": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4117 ],
- "Q": [ 4757 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6412": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4118 ],
- "Q": [ 4758 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6413": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4119 ],
- "Q": [ 4759 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6414": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4120 ],
- "Q": [ 4760 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6415": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4121 ],
- "Q": [ 4761 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6416": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4122 ],
- "Q": [ 4762 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6417": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4123 ],
- "Q": [ 4763 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6418": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4124 ],
- "Q": [ 4764 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6419": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4125 ],
- "Q": [ 4765 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6420": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4126 ],
- "Q": [ 4766 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6421": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4127 ],
- "Q": [ 4767 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6422": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4128 ],
- "Q": [ 4768 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6423": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4129 ],
- "Q": [ 4769 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6424": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4130 ],
- "Q": [ 4770 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6425": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4131 ],
- "Q": [ 4771 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6426": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4132 ],
- "Q": [ 4772 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6427": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4133 ],
- "Q": [ 4773 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6428": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4134 ],
- "Q": [ 4774 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6429": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4135 ],
- "Q": [ 4775 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6430": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4136 ],
- "Q": [ 4776 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6431": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4137 ],
- "Q": [ 4777 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6432": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4138 ],
- "Q": [ 4778 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6433": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4139 ],
- "Q": [ 4779 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6434": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4140 ],
- "Q": [ 4780 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6435": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4141 ],
- "Q": [ 4781 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6436": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4142 ],
- "Q": [ 4782 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6437": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4143 ],
- "Q": [ 4783 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6438": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4144 ],
- "Q": [ 4784 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6439": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4145 ],
- "Q": [ 4785 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6440": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4146 ],
- "Q": [ 4786 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6441": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4147 ],
- "Q": [ 4787 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6442": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4148 ],
- "Q": [ 4788 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6443": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4149 ],
- "Q": [ 4789 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6444": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4150 ],
- "Q": [ 4790 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6445": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4151 ],
- "Q": [ 4791 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6446": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4152 ],
- "Q": [ 4792 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6447": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4153 ],
- "Q": [ 4793 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6448": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4154 ],
- "Q": [ 4794 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6449": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4155 ],
- "Q": [ 4795 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6450": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4156 ],
- "Q": [ 4796 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6451": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4157 ],
- "Q": [ 4797 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6452": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4158 ],
- "Q": [ 4798 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6453": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4159 ],
- "Q": [ 4799 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6454": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4160 ],
- "Q": [ 4800 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6455": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4161 ],
- "Q": [ 4801 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6456": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4162 ],
- "Q": [ 4802 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6457": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4163 ],
- "Q": [ 4803 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6458": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4164 ],
- "Q": [ 4804 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6459": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4165 ],
- "Q": [ 4805 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6460": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4166 ],
- "Q": [ 4806 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6461": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4167 ],
- "Q": [ 4807 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6462": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4168 ],
- "Q": [ 4808 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6463": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4169 ],
- "Q": [ 4809 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6464": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4170 ],
- "Q": [ 4810 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6465": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4171 ],
- "Q": [ 4811 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6466": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4172 ],
- "Q": [ 4812 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6467": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4173 ],
- "Q": [ 4813 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6468": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4174 ],
- "Q": [ 4814 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6469": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4175 ],
- "Q": [ 4815 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6470": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4176 ],
- "Q": [ 4816 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6471": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4177 ],
- "Q": [ 4817 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6472": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4178 ],
- "Q": [ 4818 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6473": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4179 ],
- "Q": [ 4819 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6474": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4180 ],
- "Q": [ 4820 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6475": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4181 ],
- "Q": [ 4821 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6476": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4182 ],
- "Q": [ 4822 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6477": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4183 ],
- "Q": [ 4823 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6478": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4184 ],
- "Q": [ 4824 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6479": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4185 ],
- "Q": [ 4825 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6480": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4186 ],
- "Q": [ 4826 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6481": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4187 ],
- "Q": [ 4827 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6482": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4188 ],
- "Q": [ 4828 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6483": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4189 ],
- "Q": [ 4829 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6484": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4190 ],
- "Q": [ 4830 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6485": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4191 ],
- "Q": [ 4831 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6486": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4192 ],
- "Q": [ 4832 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6487": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4193 ],
- "Q": [ 4833 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6488": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4194 ],
- "Q": [ 4834 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6489": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4195 ],
- "Q": [ 4835 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6490": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4196 ],
- "Q": [ 4836 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6491": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4197 ],
- "Q": [ 4837 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6492": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4198 ],
- "Q": [ 4838 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6493": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4199 ],
- "Q": [ 4839 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6494": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4200 ],
- "Q": [ 4840 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6495": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4201 ],
- "Q": [ 4841 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6496": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4202 ],
- "Q": [ 4842 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6497": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4203 ],
- "Q": [ 4843 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6498": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4204 ],
- "Q": [ 4844 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6499": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4205 ],
- "Q": [ 4845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6500": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4206 ],
- "Q": [ 4846 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6501": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4207 ],
- "Q": [ 4847 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6502": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4208 ],
- "Q": [ 4848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6503": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4209 ],
- "Q": [ 4849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6504": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4210 ],
- "Q": [ 4850 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6505": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4211 ],
- "Q": [ 4851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6506": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4212 ],
- "Q": [ 4852 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6507": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4213 ],
- "Q": [ 4853 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6508": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4214 ],
- "Q": [ 4854 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6509": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4215 ],
- "Q": [ 4855 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6510": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4216 ],
- "Q": [ 4856 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6511": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4217 ],
- "Q": [ 4857 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6512": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4218 ],
- "Q": [ 4858 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6513": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4219 ],
- "Q": [ 4859 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6514": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4220 ],
- "Q": [ 4860 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6515": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4221 ],
- "Q": [ 4861 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6516": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4222 ],
- "Q": [ 4862 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6517": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4223 ],
- "Q": [ 4863 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6518": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4224 ],
- "Q": [ 4864 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6519": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4225 ],
- "Q": [ 4865 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6520": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4226 ],
- "Q": [ 4866 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6521": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4227 ],
- "Q": [ 4867 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6522": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4228 ],
- "Q": [ 4868 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6523": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4229 ],
- "Q": [ 4869 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6524": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4230 ],
- "Q": [ 4870 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6525": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4231 ],
- "Q": [ 4871 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6526": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4232 ],
- "Q": [ 4872 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6527": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4233 ],
- "Q": [ 4873 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6528": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4234 ],
- "Q": [ 4874 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6529": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4235 ],
- "Q": [ 4875 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6530": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4236 ],
- "Q": [ 4876 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6531": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4237 ],
- "Q": [ 4877 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6532": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4238 ],
- "Q": [ 4878 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6533": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4239 ],
- "Q": [ 4879 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6534": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4240 ],
- "Q": [ 4880 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6535": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4241 ],
- "Q": [ 4881 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6536": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4242 ],
- "Q": [ 4882 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6537": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4243 ],
- "Q": [ 4883 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6538": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4244 ],
- "Q": [ 4884 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6539": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4245 ],
- "Q": [ 4885 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6540": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4246 ],
- "Q": [ 4886 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6541": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4247 ],
- "Q": [ 4887 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6542": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4248 ],
- "Q": [ 4888 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6543": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4249 ],
- "Q": [ 4889 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6544": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4250 ],
- "Q": [ 4890 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6545": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4251 ],
- "Q": [ 4891 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6546": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4252 ],
- "Q": [ 4892 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6547": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4253 ],
- "Q": [ 4893 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6548": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4254 ],
- "Q": [ 4894 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6549": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4255 ],
- "Q": [ 4895 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6550": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4256 ],
- "Q": [ 4896 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6551": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4257 ],
- "Q": [ 4897 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6552": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4258 ],
- "Q": [ 4898 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6553": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4259 ],
- "Q": [ 4899 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6554": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4260 ],
- "Q": [ 4900 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6555": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4261 ],
- "Q": [ 4901 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6556": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4262 ],
- "Q": [ 4902 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6557": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4263 ],
- "Q": [ 4903 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6558": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4264 ],
- "Q": [ 4904 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6559": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4265 ],
- "Q": [ 4905 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6560": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4266 ],
- "Q": [ 4906 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6561": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4267 ],
- "Q": [ 4907 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6562": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4268 ],
- "Q": [ 4908 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6563": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4269 ],
- "Q": [ 4909 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6564": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4270 ],
- "Q": [ 4910 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6565": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4271 ],
- "Q": [ 4911 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6566": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4272 ],
- "Q": [ 4912 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6567": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4273 ],
- "Q": [ 4913 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6568": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4274 ],
- "Q": [ 4914 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6569": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4275 ],
- "Q": [ 4915 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6570": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4276 ],
- "Q": [ 4916 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6571": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4277 ],
- "Q": [ 4917 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6572": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4278 ],
- "Q": [ 4918 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6573": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4279 ],
- "Q": [ 4919 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6574": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4280 ],
- "Q": [ 4920 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6575": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4281 ],
- "Q": [ 4921 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6576": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4282 ],
- "Q": [ 4922 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6577": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4283 ],
- "Q": [ 4923 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6578": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4284 ],
- "Q": [ 4924 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6579": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4285 ],
- "Q": [ 4925 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6580": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4286 ],
- "Q": [ 4926 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6581": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4287 ],
- "Q": [ 4927 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6582": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4288 ],
- "Q": [ 4928 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6583": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4289 ],
- "Q": [ 4929 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6584": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4290 ],
- "Q": [ 4930 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6585": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4291 ],
- "Q": [ 4931 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6586": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4292 ],
- "Q": [ 4932 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6587": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4293 ],
- "Q": [ 4933 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6588": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4294 ],
- "Q": [ 4934 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6589": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4295 ],
- "Q": [ 4935 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6590": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4296 ],
- "Q": [ 4936 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6591": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4297 ],
- "Q": [ 4937 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6592": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4298 ],
- "Q": [ 4938 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6593": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4299 ],
- "Q": [ 4939 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6594": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4300 ],
- "Q": [ 4940 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6595": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4301 ],
- "Q": [ 4941 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6596": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4302 ],
- "Q": [ 4942 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6597": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4303 ],
- "Q": [ 4943 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6598": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4304 ],
- "Q": [ 4944 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6599": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4305 ],
- "Q": [ 4945 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6600": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4306 ],
- "Q": [ 4946 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6601": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4307 ],
- "Q": [ 4947 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6602": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4308 ],
- "Q": [ 4948 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6603": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4309 ],
- "Q": [ 4949 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6604": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4310 ],
- "Q": [ 4950 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6605": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4311 ],
- "Q": [ 4951 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6606": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4312 ],
- "Q": [ 4952 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6607": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4313 ],
- "Q": [ 4953 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6608": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4314 ],
- "Q": [ 4954 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6609": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4315 ],
- "Q": [ 4955 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6610": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4316 ],
- "Q": [ 4956 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6611": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4317 ],
- "Q": [ 4957 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6612": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4318 ],
- "Q": [ 4958 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6613": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4319 ],
- "Q": [ 4959 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6614": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4320 ],
- "Q": [ 4960 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4321 ],
- "Q": [ 4961 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4322 ],
- "Q": [ 4962 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4323 ],
- "Q": [ 4963 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4324 ],
- "Q": [ 4964 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4325 ],
- "Q": [ 4965 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4326 ],
- "Q": [ 4966 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6621": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4327 ],
- "Q": [ 4967 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6622": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4328 ],
- "Q": [ 4968 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6623": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4329 ],
- "Q": [ 4969 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6624": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4330 ],
- "Q": [ 4970 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6625": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4331 ],
- "Q": [ 4971 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6626": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4332 ],
- "Q": [ 4972 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6627": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4333 ],
- "Q": [ 4973 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6628": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4334 ],
- "Q": [ 4974 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6629": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4335 ],
- "Q": [ 4975 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6630": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4336 ],
- "Q": [ 4976 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6631": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4337 ],
- "Q": [ 4977 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6632": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4338 ],
- "Q": [ 4978 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6633": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4339 ],
- "Q": [ 4979 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6634": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4340 ],
- "Q": [ 4980 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6635": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4341 ],
- "Q": [ 4981 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6636": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4342 ],
- "Q": [ 4982 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6637": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4343 ],
- "Q": [ 4983 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6638": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4344 ],
- "Q": [ 4984 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6639": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4345 ],
- "Q": [ 4985 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6640": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4346 ],
- "Q": [ 4986 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6641": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4347 ],
- "Q": [ 4987 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6642": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4348 ],
- "Q": [ 4988 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6643": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4349 ],
- "Q": [ 4989 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6644": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4350 ],
- "Q": [ 4990 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6645": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4351 ],
- "Q": [ 4991 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6646": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4352 ],
- "Q": [ 4992 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6647": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4353 ],
- "Q": [ 4993 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6648": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4354 ],
- "Q": [ 4994 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6649": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4355 ],
- "Q": [ 4995 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6650": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4356 ],
- "Q": [ 4996 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6651": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4357 ],
- "Q": [ 4997 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6652": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4358 ],
- "Q": [ 4998 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6653": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4359 ],
- "Q": [ 4999 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6654": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4360 ],
- "Q": [ 5000 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6655": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4361 ],
- "Q": [ 5001 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6656": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4362 ],
- "Q": [ 5002 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6657": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4363 ],
- "Q": [ 5003 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6658": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4364 ],
- "Q": [ 5004 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6659": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4365 ],
- "Q": [ 5005 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6660": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4366 ],
- "Q": [ 5006 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6661": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4367 ],
- "Q": [ 5007 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6662": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4368 ],
- "Q": [ 5008 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6663": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4369 ],
- "Q": [ 5009 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6664": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4370 ],
- "Q": [ 5010 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6665": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4371 ],
- "Q": [ 5011 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6666": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4372 ],
- "Q": [ 5012 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6667": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4373 ],
- "Q": [ 5013 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6668": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4374 ],
- "Q": [ 5014 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6669": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4375 ],
- "Q": [ 5015 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6670": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4376 ],
- "Q": [ 5016 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6671": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4377 ],
- "Q": [ 5017 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6672": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4378 ],
- "Q": [ 5018 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6673": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4379 ],
- "Q": [ 5019 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6674": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4380 ],
- "Q": [ 5020 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6675": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4381 ],
- "Q": [ 5021 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6676": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4382 ],
- "Q": [ 5022 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6677": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4383 ],
- "Q": [ 5023 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6678": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4384 ],
- "Q": [ 5024 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6679": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4385 ],
- "Q": [ 5025 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6680": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4386 ],
- "Q": [ 5026 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6681": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4387 ],
- "Q": [ 5027 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6682": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4388 ],
- "Q": [ 5028 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6683": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4389 ],
- "Q": [ 5029 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6684": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4390 ],
- "Q": [ 5030 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6685": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4391 ],
- "Q": [ 5031 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6686": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4392 ],
- "Q": [ 5032 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6687": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4393 ],
- "Q": [ 5033 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6688": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4394 ],
- "Q": [ 5034 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6689": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4395 ],
- "Q": [ 5035 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6690": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4396 ],
- "Q": [ 5036 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6691": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4397 ],
- "Q": [ 5037 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6692": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4398 ],
- "Q": [ 5038 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6693": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 4399 ],
- "Q": [ 5039 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6694": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2168 ],
- "Q": [ 5040 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6695": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2173 ],
- "Q": [ 5041 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6696": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2177 ],
- "Q": [ 5042 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6697": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2182 ],
- "Q": [ 5043 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6698": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2186 ],
- "Q": [ 5044 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6699": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2190 ],
- "Q": [ 5045 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6700": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2194 ],
- "Q": [ 5046 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6701": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2198 ],
- "Q": [ 5047 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6702": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2202 ],
- "Q": [ 5048 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6703": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2206 ],
- "Q": [ 5049 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6704": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2210 ],
- "Q": [ 5050 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6705": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2214 ],
- "Q": [ 5051 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6706": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2218 ],
- "Q": [ 5052 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6707": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2222 ],
- "Q": [ 5053 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6708": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2225 ],
- "Q": [ 5054 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$6709": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:52|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2227 ],
- "Q": [ 5055 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7357": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2994 ],
- "Q": [ 70 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7358": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2805 ],
- "E": [ 70 ],
- "Q": [ 72 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7359": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2996 ],
- "Q": [ 73 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7360": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2998 ],
- "Q": [ 67 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7361": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3000 ],
- "Q": [ 68 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7362": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3002 ],
- "Q": [ 74 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7363": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:19|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3004 ],
- "Q": [ 75 ],
- "R": [ 71 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7392": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 2717 ],
- "Q": [ 2820 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7393": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 2717 ],
- "Q": [ 2825 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7394": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 2717 ],
- "Q": [ 2830 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7395": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 2717 ],
- "Q": [ 2835 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7396": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 208 ],
- "E": [ 2717 ],
- "Q": [ 2840 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7397": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 210 ],
- "E": [ 2717 ],
- "Q": [ 2845 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7398": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 212 ],
- "E": [ 2717 ],
- "Q": [ 2850 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7399": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 214 ],
- "E": [ 2717 ],
- "Q": [ 2855 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7400": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 216 ],
- "E": [ 2717 ],
- "Q": [ 2860 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7401": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 218 ],
- "E": [ 2717 ],
- "Q": [ 2865 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7402": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 220 ],
- "E": [ 2717 ],
- "Q": [ 2870 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7403": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 222 ],
- "E": [ 2717 ],
- "Q": [ 2875 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7404": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 224 ],
- "E": [ 2717 ],
- "Q": [ 2880 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7405": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 226 ],
- "E": [ 2717 ],
- "Q": [ 2885 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7406": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 228 ],
- "E": [ 2717 ],
- "Q": [ 2890 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7407": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 230 ],
- "E": [ 2717 ],
- "Q": [ 2895 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7430": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2229 ],
- "Q": [ 178 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7431": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2806 ],
- "E": [ 184 ],
- "Q": [ 177 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7432": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2231 ],
- "Q": [ 179 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7433": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2233 ],
- "Q": [ 180 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7434": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2235 ],
- "Q": [ 181 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$7435": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:40|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2237 ],
- "Q": [ 182 ],
- "R": [ 164 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$993": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 200 ],
- "E": [ 2712 ],
- "Q": [ 2821 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$994": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 202 ],
- "E": [ 2712 ],
- "Q": [ 2826 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$995": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 204 ],
- "E": [ 2712 ],
- "Q": [ 2831 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$996": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 206 ],
- "E": [ 2712 ],
- "Q": [ 2836 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$997": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 208 ],
- "E": [ 2712 ],
- "Q": [ 2841 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$998": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 210 ],
- "E": [ 2712 ],
- "Q": [ 2846 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$999": {
- "hide_name": 1,
- "type": "SB_DFFESS",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 212 ],
- "E": [ 2712 ],
- "Q": [ 2851 ],
- "S": [ 77 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1928": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:501|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2711 ],
- "Q": [ 2710 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1978": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3058 ],
- "Q": [ 2304 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1979": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3060 ],
- "Q": [ 2349 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1980": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3062 ],
- "Q": [ 2354 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1981": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3064 ],
- "Q": [ 2358 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1982": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3066 ],
- "Q": [ 2369 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1983": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3073 ],
- "Q": [ 1198 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1984": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3075 ],
- "Q": [ 1204 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1985": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3077 ],
- "Q": [ 1207 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1986": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3079 ],
- "Q": [ 1210 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1987": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3081 ],
- "Q": [ 1213 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1988": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3083 ],
- "Q": [ 1216 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1989": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3085 ],
- "Q": [ 1219 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1990": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3087 ],
- "Q": [ 1222 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1991": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3089 ],
- "Q": [ 1225 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1992": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3091 ],
- "Q": [ 1228 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1993": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3093 ],
- "Q": [ 1231 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1994": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3095 ],
- "Q": [ 1234 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1995": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3097 ],
- "Q": [ 1237 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1996": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3099 ],
- "Q": [ 1240 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1997": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3101 ],
- "Q": [ 1243 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$1998": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3103 ],
- "Q": [ 1246 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2023": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3121 ],
- "Q": [ 2179 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2024": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3123 ],
- "Q": [ 2178 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2025": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3125 ],
- "Q": [ 2979 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2026": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3127 ],
- "Q": [ 2981 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2027": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3129 ],
- "Q": [ 2983 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2028": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3131 ],
- "Q": [ 2985 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2029": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3133 ],
- "Q": [ 2987 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2030": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3135 ],
- "Q": [ 2989 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2031": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3137 ],
- "Q": [ 2991 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2032": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3139 ],
- "Q": [ 2993 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2033": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3141 ],
- "Q": [ 2973 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2034": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2725 ],
- "Q": [ 2976 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2035": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 3144 ],
- "Q": [ 61 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2060": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:13"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2816 ],
- "Q": [ 59 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2085": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 2802 ],
- "Q": [ 185 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2086": {
- "hide_name": 1,
- "type": "SB_DFFR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 185 ],
- "Q": [ 186 ],
- "R": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2111": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 187 ],
- "E": [ 188 ],
- "Q": [ 2813 ],
- "S": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2112": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 189 ],
- "E": [ 190 ],
- "Q": [ 2814 ],
- "S": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2113": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 191 ],
- "E": [ 192 ],
- "Q": [ 2811 ],
- "S": [ 2778 ]
- }
- },
- "$auto$simplemap.cc:496:simplemap_adff$2114": {
- "hide_name": 1,
- "type": "SB_DFFES",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:216|../verilog/j4.v:166|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "S": "input"
- },
- "connections": {
- "C": [ 3053 ],
- "D": [ 193 ],
- "E": [ 194 ],
- "Q": [ 2809 ],
- "S": [ 2778 ]
- }
- },
- "_bn00._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0010001111110110000100010001001000100000100000110111110011100110100101100100101101101100000110010001000000011001001000010110101000000000101010100010001111001001011011100111011101011111101110110111010110000110100000101000101000000101110001100001010111110101",
- "INIT_1": "0100000111111010001011001100110000100011010110010100010110011110100111001101100011100110111110010000011011000101101000111000110001000101110110010001010011001110000011001011101111011111111101101011110010100100011111010101010110000010000011111001011010011101",
- "INIT_2": "1010000010010000101110001010101000010111111011000001011001011100110010110111111100000110101011100000100011010001010000011101110001001000000000011110110001110000001101001111110010001101010000011110110010110000010101000010010010100011011000011111111110000110",
- "INIT_3": "0100110100110110010100111011001111001011100000111101001011100101000101000010111011000001000000010110111001000110111010111111001000000111011001101000101110101010101000110001011001100100000111000000110111111100010011111011111011100110011110101010000110001011",
- "INIT_4": "0010110001001000001011000001010001111011001111110001101000110101001000100011101000101110000001011000101100001101000010010110010001000001100101000001000000101101101001000001001010010010010110001000101100110011001000011001111110011101010100010000000101010111",
- "INIT_5": "0000110000110110011101011011011111111001101100011010010001111100001000100101000001010101010011000011110001101011010110000111011000100000010111110110101100011101011100010101101000000011001111000110010101110111011010000100111000100100000010010000111001111000",
- "INIT_6": "1000010011111001010111110101001110011001010010000100001111000110000100010001001111010000100011101100001011111010101101000110110011011001010011000100000101001011011010000010000101110100010001000101000001110110111001011110010100001010001101010001100001000111",
- "INIT_7": "0110010010101101000110011011110000101001011111001110100011000000100000100110111101101000100111000010110000101101100010100101100010110100101001011010110000101010001011010001000011101110100100100001010000101010000010100011000000101000000111100111000101011111",
- "INIT_8": "1100000011010010001001000110101010110110110101100000100111001100010100101101001010001000101011011010010001101111011111000011101110100111111101101110010110110100101000010010110000011100010001100001110001111101000100101010100001010101010011010100010000100000",
- "INIT_9": "1110000000001001011100100001111011101000010110101110000100111111101001010000000011011000101001011010111110000001111101010100111110101100000101000011010000001111001000101111110000110000100011010100100010000110000111000010100101110110100001100000101000011001",
- "INIT_A": "1000100001110000000001011101010110010100101111000100000110100100100010001111000010110000011100001010010111111000111011010111110001010001000101001000000011000100101000001010010011010101000101010000001101110000011110100110100111110011010001011100100101010111",
- "INIT_B": "1110010001110000100001010011100110100000101101010000100001001001111000000110110010001000000010000011100000100101000100010100110010000101010111010000000001010000101100001011010100000001100000001000000001110100000101010001110100100000100011001000000101011001",
- "INIT_C": "0101000000000000101100111111110000111000101110000011010000001111110110001001110010010101010010111110100100010011101000010001101100000011000101100110010001011100100011100001011010101100011001111001010101000000011010100000011001010011011101000000011011010100",
- "INIT_D": "1010001101010011011001100011110000100100110101010001110001011110000001000000101111111000000000001011000110001101000001001111110111100110100101100000001001101010101101000101101110110010100011000011001111001111000000100101010011010010000010101101000110010100",
- "INIT_E": "0100101011111111010101001110000111010110100110100010100000111000000100101100111011000100000001101001000010110100101100101010011100110000011011110100110001001001110101101110111000011010001000101011110000101110000000100111010010011011100001010001011110101111",
- "INIT_F": "0101000011001011001110011110011001010101110010011101001111011010011110001110111100110001100011010101011000100111100110101101011011010000101011011011011011010110010100111010110101010101101100000001000100010100001100000001100111001101011001101101110011010110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:5|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5056, 5057, 5058, 2724, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 2727, 5066, 5067, 5068, 5069 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 433, "0", "0", "0", "0", "0", "0", "0", 438, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn01._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0100000010100000000000011010010110001000100011011010011011111100011000001010110111011100010010001111011011110111001000100011001110011010110010110000001101110111001110000011111010010101110111100010100000001110011111100110001010010100111111100000100000110001",
- "INIT_1": "1011000010101100000100000000110010010101101010110100110001101000111110011010100111100100111100011001110010011111010100100001001100010101101101010100000000100000001101010010100101001000111001000000100110011011001001111000111110101010111010100001000110011001",
- "INIT_2": "1001100010011010110000011001000101100000111000000001000011100000110000110111101110000010101000100011000000011000001000000010000101011000010010001010000001111000010010010001100110010100000111001011100111111101101100011101000110001010000110001100000111111001",
- "INIT_3": "0000001011101011000001011110000100000100000011000000110000111110010100010101010101010001110000011000011000010010000001010011110101010010011100101011001010100000001110110010001011001010000111101110101101111101110100001110001000000001011100000000010111000101",
- "INIT_4": "0010001000100110010101000101110000001000010000000111001000111010010001100101111110010101110001100111100010001000000000100110101101010001111000010101100110111001000011000001100010111010000110101000101110110011011101000100011001100011001101010010011011110110",
- "INIT_5": "1000000001000110000000001111010001000101010100111101000001010100010111100111110000000100000100000111000001001010000001100000110101100001001110100100101001011100000000000101000101101011010111110011100101110111000110110101000101000100010000100001100001101000",
- "INIT_6": "1010100011110111011011001011010010000100100101011000111011011101010110101101100010100010101011000011000001100000010010000001101001100000011100000100011100110101100110001001001110010000101100111001100110011010000010110000100110111111011101001011101011100000",
- "INIT_7": "0000000001110001110010011100000000101000011010001001110010110100111011111110110010010001000100100111001011010110101001000011010110011011100110110001011010100001001001011011101001111111011110110010110010010010001010100011110000000100001111000110011011100101",
- "INIT_8": "0101111110111111100001000000110011010101110101011100010000010100000110110110100000010101000111000000011110000100000001010000001101101011101010100101110001011110000110001111100000100101001111110101000100010000011000000111000000100010001110001000110010010000",
- "INIT_9": "1011010010110110101000101110001010001100000111000011101000111000101011011110101000000010001100100010010000101110001000110101010100100001000000101011100111111010110011101000101100110100011100001101111011000001000001010111011011110001111100101100111001111110",
- "INIT_A": "1000110100101100011111000101110101000100110001000100100011111100011001001100010011000001111000000010010000101000101100001011010100000000010001001010010010110101111110011111110001111100111111000100011010100111000010110101101010001000101101000011000000010111",
- "INIT_B": "0110110001000100010100000111110011101000001011000000010001111001101001011111010001110001010000010100100101011001001001001011110011101000100111000001110001010100111100001111010010110101110101000100100101111001100000001001100110110000111111011101010000000100",
- "INIT_C": "0010100000100001110010010101100110101001110100111000000101001011001000101000101000011000011111010001000100010001010110001111100011111000111100100011100100111011101000101100101000111100011011111010110011101110100000101110001110000010010010110001100100011111",
- "INIT_D": "0000000101001011100110000010100111000010100100010010000100110111110001011011001111100000111110100100000111010010011010101111001111110000111110010100101111100011110101011111100011111100011110000010100001110001000111000000111010001110101011110000001001011000",
- "INIT_E": "1000000111101011000000101001111010010000110100101100011011001111111100001111000101011100110111011101001101111011000000011100010110000111101101100001110010011100111110001110110001001100100010111010010001010101101100111101101000001001000010011001000011100000",
- "INIT_F": "0001111101011110000011011101000010001100010010011111110111111100100001000100010000100100111001000101000011001001011101001111011000111001000110011100001001101100000001000100010011111110000100011111101101010010010011001100110000111000100111101111110001011111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:30|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5070, 5071, 5072, 2730, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 2733, 5080, 5081, 5082, 5083 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 443, "0", "0", "0", "0", "0", "0", "0", 448, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn02._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0010010010110000110010000100001010000000001000101101001000010000011000010110010010001001010101000001001100011010110100001101111001010000110100100100011010001100110001101001000000001111100000000010101011100000100111101001000001100001111000000111001010000011",
- "INIT_1": "0001010010110100001111011110011100100010011001100100010010001010011010011100000010000101010110000010011011101000101000011010110000011100100101000010000011001010000010111011010111100000011000001100010000010111100011001010100010001000011001001011010100110110",
- "INIT_2": "1000001000011000100100110010010100000000011010000010001010101011011010001001000000000010110010110000100011011000000100001000110011011001101010000110110000001100010110011101000110000100010001000101000110011101001110000100000011010110010001001111000100101001",
- "INIT_3": "1011100110011011000100001001100010101001101110011001001000111110100101011010111000010000000000001000001000000111101101010000010110001010101000101001001101110010001110110010011000010100100010001000110111100001010001101011011001100100100111011110000001101100",
- "INIT_4": "0100000000000110011010000011100101011010010110110001101000101001011011110110010100101001001010000011110111000101100001001000011000010101100001110001110100001101101000001010100100111010110110001000001010011000011111001010010001010101000001100110111000001010",
- "INIT_5": "1000111010110001001101101100000000011001001011010011011001111000000000000111110100010011010011000011100001010111010100110001111100010001001110000011000100100111010010000000011001101000001111000111011000111000011010100011111000110100000100010101000000010011",
- "INIT_6": "1110001100011010011110000010010001011000000100101001100110000100110100100100011001001010100000001001100000100101001110000000000001011000000111000110111100000011000100110001001001111010000100101000000100100100000000100001001011010000111111010101101001110111",
- "INIT_7": "1011100011000000101000010000100001010000010001101010000000001100110000010110110111010001011101100111010001011000000000011111010110011001000110001011001011010110100010100011011111101010110101001011000000011101001111000110001000001101001000011100011101111010",
- "INIT_8": "0100100000010110000101110101000000010011110000000000111000101100010110101001110010001111111001011000001111001001100010100000000011001010010000001101001111000101101110101101111010011011011110110111101101001001010111110110001011110011110100000011001100000111",
- "INIT_9": "1111001101011110001000110110010100001011100101101101001001111100100011100011000001110010000101011110101010110010000101100001100001000010011100110000101000111001011001101101110011110110111101011100011000101101100111101010101111001010011010101010101010010101",
- "INIT_A": "1010000100110001000001001011110010100100000010010111100010000000101100000001000110000101010000010110000000011100110001001001000010000000101000000011000010000101001110011010000111011100101001001000101001011001110111110000000110110110100100100101101100011011",
- "INIT_B": "1110010010010000011001010001100111100001000000000011110000100000111011010001110000000100000001000101110011100101000000011100010111100100100110001100110010011000011110001010000000111000101011011000010001101100110000011001000000101001100010010101000110011100",
- "INIT_C": "0000100011111000110110000000010000111000100000110110000010111001111010000000101110011101100011100010100100111110001001000001111011001010110111100010101000010000110010100100000001111001000100001101101110110001100010001011110001001011111011110001111001010110",
- "INIT_D": "1111110100100100111110110000101001110010101010101100011100000000000101100000000110111100011010011011001100111100101010010111100111101010001110100001101000010001001111011100001111111110000001010110000110000100010011000010110111011001011110010001100100101001",
- "INIT_E": "0110011010100101000000100011110100101001100001010010001110000110100000100110010011000100100001001101100000000110110001000100000101100101000000101100110001001011111110010000100100000111001001001111000001010010000101110111000100001010101011100000001011100010",
- "INIT_F": "0101100110001011000011000100101001010101000110101000010001101100010100010001011001101001110001110101000000100000110010110000110011111001111010111100111000101001000100000010100010101001111011111001110010001001100011011000010011101100111100001100101000000001",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:55|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5084, 5085, 5086, 2736, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 2739, 5094, 5095, 5096, 5097 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 453, "0", "0", "0", "0", "0", "0", "0", 458, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn03._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000000000100100000010001100100000000000100000000011010010000010000000000100000110000100100001011000001000010011101000001101000000000000010100000000001101000110101100001101110000010000000001000110000000101010011001001001111001010100011000010000101100101010",
- "INIT_1": "0010000000010000000101000011010000000011001000000000000000000000000000000000001100110000000000010001000000100000000100001010000010000001100000000000000000000000001000000000001000001000011010000000010000001000100000111000100010100010100010000001000000110100",
- "INIT_2": "0001000010010000000000000011000000100000000000000000000000110001000100110010000000000010000000100001000000110000001000000001000111000000111100001000000010101000000000000100100000010000001000000010000000000000000110010011100000000000010000000001000000010000",
- "INIT_3": "0000100000110001000100010001010001001100101000000010001010000000000001001001010100000001001110000000001010000110000000000011100000111010000010100000000000010001000000100001001000000000100100101000000010100000011000000101000000010000100000010000010100100000",
- "INIT_4": "0010011001001000001011000100100000000000010101110000100000011010001000000100111110010100011010011101001001101001010000000100000000101000110100010000000000010000010001001010010000000000001100100001100100001010000000000111000110100100010100110000000011101100",
- "INIT_5": "1000000000001010010000001000001000001001011000011010001000010000000000000000010000110010011001110000000000110010010000000101001100100000010111110010110001010111000000000000000000010101011001100000100100001010000000000010101100010000011000000000101001010000",
- "INIT_6": "0001000011001011001011000110000010001111110010110000000000011000010000101001100000100000110010100101000000001001011100100010001010010000110010000000001001011100110100000001001111001000110100100001100110000000000000110100000010000000010010011001101001011000",
- "INIT_7": "0100000011010000010000010010110110111000010100010011110000101000000000001100000101000000100000010001000001111000100101101010000100000000000110011000001001010100000100011010011110001001110011100010000010111101000010100101011000100000001001010101001011110101",
- "INIT_8": "0110000100001010000001000001011000000100000100110100000000001100001110000001100001010000100001011000010000000011010011001000101000100000010010100000000010011011110000000000001000001101100100110010000001101001000100000000101010010000111100111010100000111001",
- "INIT_9": "0111100100110000110000000010000010010001000000000010100001001000100001001110001011000000010000100100000011100110010110000000001000100001010000101101000001100011010010000000111000000000111101001000000111010110001111000000101111001000111010101101000010001000",
- "INIT_A": "0010010010001001000011000100000001000100001000010100010100100100010100010110000000000100010011010010110001000000001000000100000110100001100000000000000101100000011100001000000111000100000010000000000011001000100101001000111100110100000100100010010000000000",
- "INIT_B": "0000000010100100100000000110110110001000011000010000000000110100000110000110100101000101000101000001110010101100001001011001110000110000000001001000100011000100001010000111100000101100000101011010110101000100010000001100100001111000000001011000000000000001",
- "INIT_C": "1101011000011110110010110100000000000001001010100001100001110010000000000110100000010100100100000100101000101011111011000000100010001001001000100010100101000010001010000110001000000100010111010001011011101011100001100000110000000010010010110010001100111100",
- "INIT_D": "1010100011010001001000100101000100100000010100101000000111100010000100010010001011111000101001000111001000010001000100001000100101100010101010000110000101111000111000010101100011100000010110100010000001000000000100100100110000110110011000010010111000001111",
- "INIT_E": "0010010101100110000110000001101001111100011011010110101000101001101100101011001011011101000011010100101111001000000000010100010000010001111101010011100011100100001000001110000100001000000011011101010000100000111000010010111000111001001110100110000001100010",
- "INIT_F": "0010010100010000010011010100110100110100101101000001010100000101000000001001000101101000011010011101000001011000101110011100100110100000111101000000100011001000000100000001010011010111111011101100101110010101101001001000110011010000011110000001010110001011",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:80|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5098, 5099, 5100, 2742, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 2745, 5108, 5109, 5110, 5111 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 463, "0", "0", "0", "0", "0", "0", "0", 468, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn04._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000110010100011001000000011001010100000100000001010101111101000100000000000001000000010101010000110000100011001100010110100111010001110100110100010000110011100111100000111111010000000111011100100001011100001101001100001010010001011110000001010010100011110",
- "INIT_1": "1100000011110000010100001101111000000101011000000111100010111000101000011000110100010010001110101000001110001001101010000010101000001011110010011110010010001100110000000100100000000100100100100000001010001000001100000101100001011000001010000101100011011010",
- "INIT_2": "0110010001011000001010001000010011101110010110101000011000001100000100101101101010000000110000010110100101011010010000001010011000000100000100100000010001011010100010011010101010101000100010100100100010000010000100010000001010100001110001110110101000001010",
- "INIT_3": "0100001000000110001000001010100100100110000100100010010010100101001000101010011011000011100100011010100000000101011011101100001010100000101100010010000001111010110111000100110010111100000110001111000001001100101011001110001010011110011110100100000010001100",
- "INIT_4": "0010100100011010000110100101010100111100010010000010110001110000000000000000001000101011001011001101011000111000001011000010101011101100010000101100011000000000101000000011000100000000101000001111000011110011001100100000001000101110000100011110110010110001",
- "INIT_5": "1000110001111001100010110011111111000111011100011100101001011101010101000101100101110100010110000110010001111010001001000111010001111101000010100101100001011110000100010100011000000000010001100000100001000101000000000100110001110000011000000000101000110101",
- "INIT_6": "0001100000011101010010010101000111000010010010000000010000001100100010011000110111000010100000010010001000101100000110100011000010000100000011000000110000000000001101000010001110001101010101110000011001100110011000100011000110101100001011000001000001101000",
- "INIT_7": "1001000110110001000100000011100011100000101000000001001001010110011011000010110010100010100011101101101010101110100100100011011000000010110111110000010000110001100101001011000001010110000100011010111011011000100010100001000001100100000011000100010001101100",
- "INIT_8": "0001000000110000001000101000100001011000110111000100111100101010000010001110010111010100001110100110000000101100000101001000101000100100101011001010101000101000000000010000010101001100101000100000010000001100101110001011001010000100001001110100100000000001",
- "INIT_9": "1001100010001110010001000011011010000000110011001000001000101010000001000011001011001000100011100000100100111111100010101000110011000100101000000000001000100000100001101000100010000100101100100000111001001000000101000111000000001100001101100001000000010100",
- "INIT_A": "1000000010111001101110000101100100010100100000010010000011000000000010001100100010011000000110000110110100011000100100001011010101010000011000000000010011101100100000011000000000000001011010001000000011011001000100100111100001011101011110001000110011101010",
- "INIT_B": "1011100010111000000110000101110110100100110101000100000101011100001000000110100100001100000000001011000110110101000000001101110010111100101110000011100000010100011000001110010010011000111110011011100011111100101001001001100111001000111011011010000010001001",
- "INIT_C": "1000000011101111100010001011100110101100101110111000110101001111010100001110100101100000001000011011100001110110101101011011100010111000101100110001101100111010000110100010100000001110110110101000011010100001110001101001101010010010010010111010000001011100",
- "INIT_D": "1000101010001010100000111011001010010101110111101100000010100101010000101001000100110000010101010001001010010010000101010001100110110100010111000100000010001101101100001111000011000010111010110000000010111011000011100011101110000000011000100100110111110011",
- "INIT_E": "1100111010110001010010001101101100011100110010100001101010111111000010010011011000101100010110010100100011001010001101101000000011001110101110100011100000001110110001000010000011011000110001111010010111110101010000100110011110011000101000111100000010101001",
- "INIT_F": "0001001011000010001011000111001010001000110111110000101011101000101001101100101000011101100111111000011110010000100111001001011100000100011000100100100011010101010001010101000010000010110110100001101000000100000000000000101001000001000111010000100010001101",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:105|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5112, 5113, 5114, 2748, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 2751, 5122, 5123, 5124, 5125 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 473, "0", "0", "0", "0", "0", "0", "0", 478, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn05._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0000000010001000000000000001010010100010100001001010011010100111000000101001001010000000101100010010000001000001001010111000000110110010001001000010000010011100000000001011000010110000001101000110000010000100000010000010101010000100010011010000010000001101",
- "INIT_1": "1100000101000101010000001000000000000000001100000011100011100000001101011000010000000001000001011000000000000000001010000010000000000000001001111010001001011000000000100101010000000010000000100000000000110000001000011000100100001000010110000001000001010000",
- "INIT_2": "0010010011100000101000001000000011111000001000001011000010000000000000011000000010000100001000000110001100000001001000101011000000000110100100000000011000001000101110110000110100100010100001000000001011001000000000100000010100100100101101010010000001000100",
- "INIT_3": "0011001000100100010000001100011000100100000000000000010010010000001000100000000000000001010100111000000011010000001100001100100000010100100000010010000000000000100101000101000010001000100100000110000010000000100100000100000000001000000000000000000101000000",
- "INIT_4": "0011000101000100000100000100000000010000000100000010010001010010010000000101011000010000000000011000000110001000000000010000000100110000100110000000000001010000101000001001000000010000100000100000000010000010001100000001000001100000010000000000010111001000",
- "INIT_5": "1000000010000000010000001100000000000001001000011100100110000000010100000000000000000000000000000100000000000000000001000111000000100000010000010000100100001000000000000000001000010000000000000000000000000000000000000010010000101000001010100000010000001100",
- "INIT_6": "1100001111000100100000010000111010000000100100000000010001010000010000001100000000000011110100110011101000001010100011001000110010010100100010000000000000000000000000010000011010000101110011000001010010010000100000000110010010100100100000000100000000000000",
- "INIT_7": "0101010011010100000100000001000000011000110010000000000000010000001011000100000000000000100000000000000001100000100010001010100001000001010000000000100000001001000000101010000000010001010000010100000011010010000000001000100000110100010101000101010001100100",
- "INIT_8": "0001100000101000000000001000000001010010100111000001001001010000000100001010100000000000010100000100000001100010000000100000001000000000000000000000000000110000000000000001010001000010010100100000000000111010001000000000001010010100101001110100000001111000",
- "INIT_9": "1000001010000000000001000110000000000010100100000000001100100010000000100010000000000010100101000000000001101000000000000000001010000000111000000100000001110000100000000000001000001010101010000100001010011010010000100010000001010010111001000000000010001000",
- "INIT_A": "0100000010100001010100000100000100100000001000000000000011000000000000000111000000110000001110000010000110000001110100001000100001000000101000000100110011100000000000001001000000000001010010010000100011000000010010000100100001000000001100000100000001101010",
- "INIT_B": "1001000011000100000101000100100101110001101000010001000001000101010000000101000000000000100100001010000111000000000100000100000000001100110001010001010010100000001000001010000010010000011101000101000011000000000100001010000010000000001000010000000010101001",
- "INIT_C": "1100000111000001000000001100000010000100000000000100000100000000010000000100000001000000010000001111000011000001100100001000000000010000111000000001000001100001000100000010000000010000100010101000000010100001000000001000000101000010010010101000100011000000",
- "INIT_D": "0001001010011010010101000111010010000000000000000000000010111000000100001100000001000010010000000000000000010000000000000100000000010000110010011000000011000000100100001001100000011000101110000000100010110000000100000100100000000000011000000000000110100001",
- "INIT_E": "1101101001110010000100101000100001000000110000000001000000100001000110001110000000110000010010000000000000000000000000000000000001011010010000000001000001001100010001100110011000000000100001011101010111000101000000000010001000000010001000001000110001101110",
- "INIT_F": "0000001010010000000100000000000000011000100000000000100001010000000000101000000000010100110100010001000000000000000001001000000000000000011100000100000001000000000100000000001001000000110100000000001010000000000100101000100000010001010000000001100000011010",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:130|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5126, 5127, 5128, 2754, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 2757, 5136, 5137, 5138, 5139 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 483, "0", "0", "0", "0", "0", "0", "0", 488, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn06._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0011011100000001001101100010000010001010000000001110011100000000100000100000000000110001001000011100100100010000001011110100000010111110001000000101110101000000011001100000000011111110001000000000011100000011011010100001001011011110000000100011010000100000",
- "INIT_1": "1110000100010001010010100000000001100001000000000111101000010000100001010000000000101011000000001101000100000000001111000000000001101111000000001100111000000100011010100000001010110011001000011011010000000000010110110000000001111110000000001100100000010000",
- "INIT_2": "1110011000100000100011000000000011111111000000010010110000000000001010110010000011100101000000000100101100000001011111100000000000110110000000000101111000000000101011110000000111011011000000010110001000000000001001110010000010111111010001000100011000000000",
- "INIT_3": "0110011001000100001010110000000000010111000000001100010100000000111010100000000011010011100000000111100100111100010001100000000000010101000000010110100000010000110101000000000000111000001000000101010000000000100010110000000101101010000000001101110100000000",
- "INIT_4": "0101001101000000010101110100000001110001000100000111011100000000000100100000001010101111001000000010100100001000001110010000100000010010000000000001001000010000001100010001000001100010000000100010001100000010000100110000000001111001000010001111010100100000",
- "INIT_5": "0111100101000000001111110000001000010011000000010110110100010000010100010000000000001001000000000100100100010000001101000000000000010001000100000001101100000000010101110001000100000000010000000100010100000000011001100010101000100010000010100010010100010000",
- "INIT_6": "1100011100000101110100110100001001000100010000000100010001001000000001010000000000110011000000000010111100000010100011011000000000001100000000000010110000001000101011110000100100000111000100001111111000000010101111010000000000100100000000001100010000000000",
- "INIT_7": "0011010100000001010100000011100010101100000000000101010000000001001011010000000010001100000000101100010010100010010011000000001001100101000110100110100100010000000000100000000001010011000000100101001100000000110100000000000101011101000000000100110001000000",
- "INIT_8": "0001101100000000011010100000001001010110000000000011000100000010010001100000001001111010000000100110111000000010000101100000000010100110000010100010001000000010000001110000001001000110000000000000111000000000101010100000100000001111000010001100001100000000",
- "INIT_9": "0000001000000000100101100100001011000011000000100010011100000000000101100000000000001111000000000001001100000000100001100000000000110110000000001001001000000010100100100000001000001011000100000100001000000000011001100000000000000110000000000100101100001000",
- "INIT_A": "0111010100000000000100010000000011111000000000000011000000100000101011000010100010110000100000001101000101000000011111000000000000010000010000000001110000000000010100010000000100001001000000000001110000000000001010100100001000101111010000001000001000000000",
- "INIT_B": "0001110000001000010101010000000001111101000001000110100101100000001000000010000011101001001000000000000100000000001000000000000000001101000000000011010100000000011101000111000011010000000000000001000100000000001001010000000010011001000000000010100100000001",
- "INIT_C": "0000000100001000001100000000000010010101000000000100010101000000111100010000100001100011010000001001011100000001010100110000000100010100000000100001011100000010001101100000001011000110100000100100000101000001010111110100000100111110000000101000100100010100",
- "INIT_D": "0001001100000001010101010000000111011101000000111101100001000000010000110100001000000011000000001100110000000000000001010000100010011111100000011000100000000000000110100000000000011011000000100001111100010000011000010100000011100110100000001101000110000000",
- "INIT_E": "1111111100001000011000110100000000010010000000000101000100000001000011010000100100110100000000000000011100000000101101110001010001000111001010000101011101000100111001101000010011110011100100010000011100000001100000100000010000000111000000001000011100001010",
- "INIT_F": "0101011000010000001100100000000001001011000000000001101000000000011010110000000100010111000000010000011100000001001101100000000001110010000000000101010100000000011010110100000010010000000010000101001000010100000110100001000001001101000000000001111000000000",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:155|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5140, 5141, 5142, 2760, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 2763, 5150, 5151, 5152, 5153 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 493, "0", "0", "0", "0", "0", "0", "0", 498, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn07._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "0100000010110110000000000111010001010000100110100000000001110111000000001101111001000000001011010000000010011101000000001110111100000000100101100000000011011111000000011110111000000000110111100001000010000110000000001111101000000000100111010000000011110101",
- "INIT_1": "0000001011111000000000001101101000000000111111110000000110101010000000000100110001000000101010110001000011011001010000001011110000000000111101110000000110011110000000001110101001000000101110100100000010101000000000001101111100000001101111100001000011001000",
- "INIT_2": "0000000111011110010000001000110000000000011111100000000001101100000001000110101100010010111011010000010011010010000000001111111000000000111101100000000111111010000000001110111000000000010110100000000010100010000100001110011100000000011010010000000010010110",
- "INIT_3": "0000000011100110000000001010101100000000000111110000000011011110001000001110111000000100100100110000001001110101000000000100001001000010100101010010010001111000000000100101010001000001001111100000001001011100000000001100110000100000111011100001001010001101",
- "INIT_4": "0000000001010011000010000101011100000000011001000000100001110011000000000001001000000000101011111100000000101101000100000110110100000000110101100000000011010110010000100001110100000101011010100100010000101011000000001001011100000100111100000000000001110001",
- "INIT_5": "1000000001110101000000001011111100000001100111110000000001011101000000000111110100000000011011000000000001111001000000000101011000000000010111110000000001011101001010000101011100000000011001100010100001000101000000000111111000000000011110110000000000110101",
- "INIT_6": "0010000011001111000110001111001100100000010111000010000001011100000000001000111100000000101101110000000001101101000000010110110001010001001011000100000000111010000100001110111110000000011101110000000011111110000000001111110100000000001101000001000011101111",
- "INIT_7": "0000000010110101100001000110100000000000001011000000000111111100000100000010110000000000111011010001000110101100000000000111110000000000011111010000000011111111010000001111111000110000100111110000000011111111000010011111000010100000010111000100000001101011",
- "INIT_8": "1001000000011101000000011110111000100000110101101000000001110111000010001110011000000000101111000001000011101111001000011101101000010001111011100100010010101010001000001101111100001000111101101000000001011110010001010011101000010000001011110000010010110001",
- "INIT_9": "0001000000100100000010011101010000100000010011000000000011011101000000011111000001100000100111110000000001010111001000010101011000001000010101010000010010101011001000011101111000000000100101110010000011010111100000000101001100011001101001100010000011011101",
- "INIT_A": "0000000010011101000000001011100100000000111110000000000011110100000000001110110100000000101101000000000011010000000000000111110000001000011111000000000010011101000000001100010000000000111110000000000011111100000000001110110000010000010010010000000100110110",
- "INIT_B": "0000000101111100000000000101010100000000111111011000000001101001000000000010100000000100111110010000000001011101000100000110110000000000110111010001000110110100001000001111110100000000110100000000000010101001001000001010110100000000111101010100000010101101",
- "INIT_C": "0000000000011110000000000011101001000000101111110000000011011111000001001111101100000000001111110000100000010101000000000101111100100000010111101000000001110111000000010001111000001000111000100000000001100101000000000111101100000010101111100000000000111111",
- "INIT_D": "0000010000010001000000001101010100000000110111000000010001111000000010001100011100100100100010110001000011101100000000000101110100110000110111010000010011101010000000000111111000000100010110110000000010111111100000000110010100000000110001100000100011110001",
- "INIT_E": "0000000011000011000000001110011100000000100101100000000011001101000000001110010000000010111100000000000011100111000010001010011100000000111011100000000001110111000000001100001000001000111000110000100000100110000000001000111001011000100001110001000011001111",
- "INIT_F": "0010000011011110000010001111001100100000110011110000000011111111000000001110111100000000111101110000000011101110000010000011011000000000110111100000100010110101100000000110111100000000001111010010000011011111000000001111111000000000101011100010000011011110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:180|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5154, 5155, 5156, 2766, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 2769, 5164, 5165, 5166, 5167 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 503, "0", "0", "0", "0", "0", "0", "0", 508, "0", "0", "0", "0" ],
- "WE": [ 2719 ]
- }
- },
- "_bn10._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111111111111111111111001111111011111111111111111111110111111110111111101111111111111100111111101111111011111111111111101111111111111100111111001111111111111101111111101111111011111110111111111111110011111100111111111111111011111110111111011111111111111101",
- "INIT_1": "1111110011111110111111001111110011111111111111001111111011111110111111001111111011111111111111111111111111111100111111111111110011111100111111001111111111111110111111011111111011111101111111001111110011111101111111011111110011111111111111101111110111111111",
- "INIT_2": "1111110111111111111111111111111011111101111111101111110111111100111111101111111011111100111111001111110111111100111111111111110011111101111111001111110111111101111111011111111011111111111111001111110111111110111111011111110011111111111111011111111011111110",
- "INIT_3": "1111110111111110111111001111110011111100111111101111110111111101111111011111111011111100111111011111111011111100111111001111110111111110111111011111110011111101111111011111110111111100111111001111110011111100111111001111111111111100111111001111111011111100",
- "INIT_4": "1111111011111100111111001111111011111110111111011111110011111110111111111111110011111101111111111111111011111110111111101111111111111110111111001111110111111101111111101111110011111101111111011111110111111110111111101111111011111100111111011111111111111100",
- "INIT_5": "1111110111111111111111101111111111111110111111011111110011111100111111101111110011111110111111011111111011111100111111001111110011111111111111001111110011111111111111001111110011111100111111111111111011111100111111001111111111111110111111001111111011111110",
- "INIT_6": "1111110011111100111111001111111011111110111111101111110011111110111111101111110011111110111111001111111011111110111111001111111011111111111111001111110011111111111111111111111011111101111111101111111011111111111111101111110111111100111111011111110011111111",
- "INIT_7": "1111111011111100111111001111111011111110111111101111110011111110111111001111111011111100111111101111110011111110111111101111110011111100111111001111111011111110111111001111111011111100111111101111111011111110111111001111110011111110111111101111110011111110",
- "INIT_8": "1111110011111110111111001111111011111100111111001111110011111110111111001111110011111110111111001111110011111100111111001111111011111100111111001111111011111100111111001111110011111110111111101111110011111110111111101111110011111100111111001111111011111100",
- "INIT_9": "1111111111111101111111011111110111111100111111111111111111111111111111111111111011111100111111011111110011111100111111111111110111111111111111001111111111111110111111001111111011111101111111101111111111111110111111111111111011111111111111111111110011111100",
- "INIT_A": "1111111111111110111111111111110011111101111111101111110111111100111111001111111111111111111111101111111111111110111111001111110111111100111111001111110011111110111111101111110111111110111111111111110011111100111111111111111111111100111111101111110011111111",
- "INIT_B": "1111111111111110111111111111110111111111111111111111110011111110111111101111110111111111111111111111111111111101111111111111111111111101111111101111110011111111111111001111111111111110111111001111111011111101111111011111111011111110111111001111110111111101",
- "INIT_C": "1111111011111110111111101111111011111110111111111111111111111111111111111111111011111111111111101111111011111110111111101111111111111100111111001111110011111101111111011111110011111100111111011111110111111100111111001111110011111101111111011111111111111101",
- "INIT_D": "1111111011111110111111101111111111111110111111111111111111111110111111101111111011111110111111111111111111111110111111111111111111111110111111101111111011111110111111101111111111111110111111111111111011111111111111111111111011111111111111101111111011111111",
- "INIT_E": "1111111011111111111111111111111111111110111111111111111111111110111111111111111011111111111111111111111111111111111111101111111011111110111111111111111011111111111111111111111111111111111111101111111011111111111111111111111011111110111111111111111111111110",
- "INIT_F": "1111111011111110111111111111111111111111111111101111111111111111111111111111111011111110111111111111111011111111111111101111111011111111111111111111111111111110111111111111111011111111111111111111111011111111111111111111111011111110111111101111111111111110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:205|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5168, 5169, 5170, 2723, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 2728, 5178, 5179, 5180, 5181 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 433, "0", "0", "0", "0", "0", "0", "0", 438, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn11._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110011111110111111101111111111111110111111001111111011111101111111001111111011111110111111111111110111111110111111111111110111111101111111001111110011111101111111001111111111111111111111011111110011111101111111001111110111111101111111001111110011111100",
- "INIT_1": "1111110011111101111111001111110011111110111111011111110111111110111111001111111111111101111111011111110011111111111111001111110111111100111111111111110011111100111111001111111011111100111111101111110111111101111111111111111011111110111111011111110111111111",
- "INIT_2": "1111110011111110111111111111110111111100111111111111111011111100111111011111110111111111111111011111111011111101111111001111110111111110111111111111110111111101111111001111110011111100111111001111110011111100111111101111111111111100111111011111111111111101",
- "INIT_3": "1111110011111100111111001111111111111100111111101111110011111100111111001111111011111110111111011111110111111110111111001111111011111111111111011111110011111100111111101111110011111101111111001111111011111110111111011111111111111100111111001111110011111101",
- "INIT_4": "1111110011111101111111101111110111111111111111001111111111111110111111001111110011111111111111011111110111111101111111111111110111111110111111001111110011111111111111101111110011111111111111111111110111111111111111011111111011111111111111101111111011111101",
- "INIT_5": "1111110011111110111111011111110011111110111111001111110111111111111111001111110011111110111111001111110011111100111111111111111111111100111111011111110011111101111111001111111011111110111111111111110011111101111111111111110011111110111111011111111011111101",
- "INIT_6": "1111110011111110111111001111111011111110111111101111110011111110111111101111111011111100111111101111111011111100111111011111111111111100111111101111110011111110111111111111110011111100111111111111110111111110111111101111111111111101111111001111111111111101",
- "INIT_7": "1111110011111110111111101111111011111100111111101111110011111100111111101111110011111110111111101111110011111100111111001111111011111110111111001111111011111110111111001111111011111100111111001111111011111100111111101111110011111110111111101111111011111100",
- "INIT_8": "1111110011111110111111101111110011111100111111101111110011111100111111001111110011111100111111101111110011111100111111001111111011111100111111101111111011111100111111001111110011111100111111001111110011111110111111101111111011111110111111101111110011111100",
- "INIT_9": "1111110011111111111111101111111011111100111111101111110011111111111111111111110011111100111111001111110011111101111111011111110011111100111111011111111011111101111111001111111111111100111111101111110011111110111111001111111011111111111111001111110011111100",
- "INIT_A": "1111111011111111111111111111111011111100111111111111111111111111111111001111111111111100111111111111111011111100111111001111110111111101111111011111110011111100111111001111110111111110111111001111111011111111111111001111111011111111111111111111110011111110",
- "INIT_B": "1111111111111101111111001111110111111101111111101111111111111111111111111111110111111110111111011111111011111101111111011111111111111111111111111111110011111111111111101111110011111111111111111111111011111100111111001111111111111100111111011111110111111111",
- "INIT_C": "1111111011111110111111101111111011111110111111111111111011111110111111111111111011111111111111101111111011111111111111101111111011111101111111011111110011111100111111011111110011111100111111011111110011111101111111001111110111111100111111001111111011111100",
- "INIT_D": "1111111011111111111111101111111111111111111111111111111011111110111111111111111111111110111111101111111011111111111111111111111011111110111111111111111011111110111111111111111011111110111111111111111011111110111111111111111011111110111111111111111111111111",
- "INIT_E": "1111111111111110111111111111111111111110111111111111111011111110111111101111111111111111111111111111111011111111111111111111111011111110111111111111111111111111111111111111111011111111111111101111111011111111111111111111111111111111111111111111111011111110",
- "INIT_F": "1111111111111111111111101111111011111110111111111111111111111110111111111111111111111110111111111111111011111111111111101111111111111111111111101111111011111111111111101111111011111111111111101111111011111110111111101111111111111110111111101111111111111110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:230|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5182, 5183, 5184, 2731, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 2734, 5192, 5193, 5194, 5195 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 443, "0", "0", "0", "0", "0", "0", "0", 448, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn12._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110011111100111111001111111111111111111111101111110011111100111111001111110011111100111111101111111111111101111111001111111111111100111111011111111111111100111111111111110011111101111111101111110011111100111111101111111011111100111111001111111011111101",
- "INIT_1": "1111111011111101111111111111110011111101111111001111111111111110111111101111110011111100111111011111111111111101111111011111110011111100111111001111111111111110111111111111110011111100111111001111110011111101111111001111110111111110111111101111110111111100",
- "INIT_2": "1111110111111111111111111111110011111100111111101111111011111110111111001111110011111101111111011111110111111100111111111111111011111110111111111111110111111110111111011111110011111111111111111111110111111110111111101111111011111111111111101111111011111100",
- "INIT_3": "1111110111111101111111001111111011111100111111001111110111111101111111011111110111111110111111101111111111111100111111011111111111111100111111111111111111111110111111001111110011111110111111011111110111111111111111001111111111111110111111101111110111111100",
- "INIT_4": "1111110011111101111111101111111011111101111111101111111111111100111111001111110011111111111111111111110011111100111111101111110111111111111111011111110111111110111111111111111111111110111111011111110111111100111111111111111011111101111111001111110011111110",
- "INIT_5": "1111111111111100111111001111110011111111111111001111110011111100111111001111111011111100111111111111111111111100111111001111110111111110111111111111110011111101111111001111111011111110111111001111110111111100111111111111111011111100111111001111111011111101",
- "INIT_6": "1111111011111100111111101111111011111110111111001111110011111110111111101111111011111100111111001111111011111100111111001111111011111110111111111111110011111110111111111111110111111101111111101111110011111101111111011111110011111111111111101111110111111110",
- "INIT_7": "1111111011111110111111101111110011111100111111101111110011111100111111001111111011111100111111001111110011111110111111101111110011111110111111101111111011111110111111001111111011111100111111001111111011111100111111101111111011111110111111101111111011111110",
- "INIT_8": "1111110011111100111111001111111011111100111111001111110011111110111111001111110011111110111111001111111011111110111111101111111011111100111111001111111011111110111111101111110011111110111111001111110011111100111111101111110011111100111111101111111011111110",
- "INIT_9": "1111111111111100111111001111111111111100111111111111111111111100111111001111111111111101111111001111110011111110111111001111110111111101111111011111111111111100111111001111111011111101111111101111110111111100111111101111110011111100111111101111110011111100",
- "INIT_A": "1111111111111110111111001111110011111101111111101111110111111111111111001111111011111111111111001111110011111110111111001111110011111100111111101111110011111110111111011111110011111111111111101111110011111110111111011111110011111100111111101111110011111101",
- "INIT_B": "1111111111111110111111001111111011111101111111001111110011111110111111001111111111111111111111111111111111111100111111011111110011111101111111101111110011111100111111101111111111111110111111001111110011111111111111111111111011111101111111011111110111111100",
- "INIT_C": "1111111111111110111111101111111111111111111111101111111011111110111111111111111111111110111111111111111011111110111111101111111111111101111111011111110011111101111111001111110011111100111111011111110111111100111111011111110111111101111111011111110111111110",
- "INIT_D": "1111111111111111111111111111111011111110111111101111111111111110111111101111111011111110111111101111111111111111111111111111111011111111111111111111111111111111111111111111111011111111111111101111111111111110111111101111111011111110111111111111111111111111",
- "INIT_E": "1111111111111110111111111111111111111110111111101111111111111111111111111111111111111111111111101111111011111110111111111111111111111110111111101111111111111111111111111111111011111110111111111111111111111111111111111111111011111111111111101111111111111111",
- "INIT_F": "1111111011111110111111101111111011111111111111111111111111111111111111101111111011111110111111111111111011111111111111101111111111111111111111111111111111111111111111111111111111111111111111101111111111111110111111101111111011111110111111111111111011111111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:255|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5196, 5197, 5198, 2737, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 2740, 5206, 5207, 5208, 5209 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 453, "0", "0", "0", "0", "0", "0", "0", 458, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn13._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111111011111100111111001111110011111110111111101111110011111100111111101111110011111100111111001111110111111101111111001111111011111100111111001111110011111110111111001111110111111100111111111111110011111100111111011111111111111100111111011111111011111110",
- "INIT_1": "1111110011111111111111001111110011111101111111001111111111111100111111011111111111111100111111001111110111111100111111001111111111111101111111001111110011111110111111001111111011111100111111001111110011111100111111101111110011111110111111101111110011111101",
- "INIT_2": "1111110011111101111111101111110111111100111111001111110011111111111111011111110011111100111111101111110111111110111111011111110011111110111111101111110011111110111111001111110011111100111111011111110011111111111111001111111011111100111111101111110111111110",
- "INIT_3": "1111110011111111111111001111111011111100111111101111110011111111111111001111110111111110111111101111110011111101111111011111111111111111111111111111110011111101111111001111110011111100111111011111110111111101111111011111110111111110111111101111110011111101",
- "INIT_4": "1111111011111101111111011111111011111100111111101111110011111100111111101111110011111100111111111111110011111110111111101111110011111111111111111111110011111110111111011111110111111100111111101111110011111101111111101111110111111110111111011111110011111100",
- "INIT_5": "1111110011111111111111001111110011111111111111001111111111111100111111101111110011111110111111001111111111111101111111101111110111111110111111001111110011111100111111011111111111111100111111011111111011111101111111001111111111111100111111101111111111111100",
- "INIT_6": "1111110011111100111111001111111011111100111111001111110011111100111111001111110011111100111111001111111011111100111111011111110011111111111111011111110011111100111111111111110011111101111111001111111011111101111111001111111111111100111111011111110011111111",
- "INIT_7": "1111111011111100111111101111111011111100111111101111110011111110111111001111111011111100111111001111110011111100111111101111111011111110111111101111111011111110111111001111110011111110111111001111111011111100111111101111111011111110111111101111110011111110",
- "INIT_8": "1111110011111100111111001111111011111100111111001111110011111100111111101111111011111110111111101111110011111110111111001111111011111100111111001111111011111100111111101111111011111100111111101111110011111100111111101111111011111100111111001111111011111100",
- "INIT_9": "1111111011111101111111001111110011111100111111001111110011111101111111011111111111111101111111001111110111111101111111111111110011111111111111001111111011111101111111011111110011111100111111001111111011111100111111001111110011111101111111111111110011111100",
- "INIT_A": "1111110111111110111111101111110011111101111111001111110011111100111111001111110011111100111111011111110011111110111111001111110111111101111111001111110011111100111111101111110111111110111111111111111011111100111111101111110011111101111111001111110011111100",
- "INIT_B": "1111111011111111111111101111110011111110111111011111110111111100111111001111110011111111111111101111111011111100111111101111110111111100111111011111110011111100111111001111111111111101111111001111110011111100111111111111110011111100111111001111110011111110",
- "INIT_C": "1111111011111111111111101111111011111110111111111111111011111110111111101111111111111110111111101111111111111111111111101111111011111100111111001111110011111100111111011111110111111100111111001111110111111100111111001111110011111100111111011111110011111101",
- "INIT_D": "1111111011111111111111101111111111111110111111101111111111111110111111111111111011111110111111101111111111111110111111101111111111111110111111111111111011111111111111101111111111111110111111111111111011111111111111101111111011111111111111101111111011111110",
- "INIT_E": "1111111111111111111111111111111111111110111111101111111011111111111111101111111111111110111111111111111011111110111111111111111011111111111111111111111011111110111111101111111111111110111111101111111011111111111111101111111111111110111111101111111011111111",
- "INIT_F": "1111111111111110111111101111111111111110111111111111111011111110111111101111111111111111111111101111111111111110111111111111111111111110111111101111111011111111111111101111111111111110111111111111111011111111111111101111111011111111111111111111111011111110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:280|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5210, 5211, 5212, 2743, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 2746, 5220, 5221, 5222, 5223 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 463, "0", "0", "0", "0", "0", "0", "0", 468, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn14._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110011111101111111101111111011111110111111111111110011111110111111011111110111111110111111101111110111111110111111011111110111111101111111001111111011111111111111001111111011111101111111011111110111111101111111011111110111111101111111111111110111111111",
- "INIT_1": "1111111011111101111111001111111011111101111111011111111111111110111111111111111111111110111111001111111011111100111111001111110111111101111111011111110011111100111111001111110011111101111111001111111011111100111111001111110111111110111111101111110011111110",
- "INIT_2": "1111110011111111111111001111110111111100111111111111110011111100111111101111110011111110111111001111111111111101111111111111110011111100111111001111111011111100111111001111110011111110111111001111111111111101111111001111110111111100111111001111110011111110",
- "INIT_3": "1111110011111110111111011111111111111100111111101111110011111111111111001111111111111100111111011111110011111100111111001111110111111100111111011111110011111110111111001111111011111100111111001111110011111110111111001111111111111100111111001111110011111100",
- "INIT_4": "1111110011111101111111011111110111111100111111001111110011111110111111011111110011111100111111001111110011111101111111011111110111111100111111001111110011111111111111001111110011111100111111111111110011111110111111101111111111111100111111001111110111111111",
- "INIT_5": "1111110111111111111111111111111111111110111111001111111011111100111111101111110011111110111111001111111011111100111111001111110011111110111111001111111011111111111111111111110011111100111111111111110011111100111111011111110011111101111111001111110011111100",
- "INIT_6": "1111110011111100111111001111111011111100111111001111110011111110111111101111111011111100111111001111110011111100111111001111111011111100111111001111110111111111111111001111110011111101111111111111110011111101111111001111111111111100111111001111110011111110",
- "INIT_7": "1111110011111100111111001111110011111100111111101111110011111110111111001111110011111100111111001111110011111110111111001111110011111100111111001111111011111110111111001111111011111100111111101111110011111100111111001111110011111110111111101111110011111100",
- "INIT_8": "1111110011111100111111001111110011111100111111001111110011111100111111001111111011111100111111001111110011111100111111001111111011111100111111001111111011111100111111001111110011111100111111101111110011111110111111001111110011111100111111001111110011111100",
- "INIT_9": "1111110011111100111111011111111111111100111111111111110011111110111111001111110011111100111111001111110111111101111111001111110011111100111111001111110011111101111111011111111111111100111111101111110011111100111111011111111111111100111111001111110011111100",
- "INIT_A": "1111110111111101111111011111110011111101111111111111110111111110111111001111111011111100111111101111110111111101111111001111110111111100111111001111110011111110111111001111110011111111111111111111110111111111111111001111110111111110111111101111110011111101",
- "INIT_B": "1111111011111110111111001111111111111100111111011111111011111110111111011111111111111111111111101111110011111111111111011111110011111110111111101111110011111101111111001111110111111100111111001111110011111111111111011111111111111101111111101111110011111100",
- "INIT_C": "1111111011111111111111101111111011111110111111101111111011111110111111101111111111111110111111111111111011111110111111101111111011111100111111001111110011111101111111001111110011111100111111011111110111111101111111011111110011111100111111011111110011111111",
- "INIT_D": "1111111111111111111111111111111111111111111111101111111011111111111111101111111011111110111111101111111011111110111111101111111111111110111111101111111011111111111111111111111011111110111111111111111111111110111111111111111111111110111111111111111011111110",
- "INIT_E": "1111111111111110111111101111111111111110111111101111111011111110111111101111111111111111111111101111111111111111111111101111111111111110111111101111111011111110111111101111111111111110111111111111111011111110111111101111111011111110111111111111111011111111",
- "INIT_F": "1111111011111110111111111111111111111110111111111111111111111111111111101111111011111111111111111111111111111111111111111111111011111111111111111111111011111111111111101111111011111110111111111111111111111110111111101111111111111110111111111111111011111111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:305|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5224, 5225, 5226, 2749, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 2752, 5234, 5235, 5236, 5237 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 473, "0", "0", "0", "0", "0", "0", "0", 478, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn15._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110111111111111111101111110011111100111111011111111111111100111111011111111111111110111111001111110011111101111111011111111011111100111111001111110011111110111111001111110011111101111111111111110111111101111111011111110111111110111111111111110011111100",
- "INIT_1": "1111110011111100111111111111111011111110111111101111110011111100111111001111111011111111111111101111110011111100111111001111110011111100111111001111110011111100111111001111111011111111111111111111110011111100111111001111110111111101111111001111111011111101",
- "INIT_2": "1111110011111111111111001111110111111100111111111111110011111110111111101111111011111100111111011111110011111100111111011111110011111111111111001111110011111101111111101111111011111100111111011111110111111111111111001111110011111100111111001111110011111110",
- "INIT_3": "1111111011111100111111001111110011111100111111001111111011111101111111001111111011111100111111111111110011111100111111101111110011111100111111001111111011111101111111001111111011111100111111001111110011111101111111001111111011111100111111101111110011111100",
- "INIT_4": "1111110011111100111111001111110011111100111111001111111011111100111111011111110111111100111111001111110011111101111111011111110011111100111111111111110011111100111111001111110111111100111111111111110011111100111111011111110011111100111111011111110011111111",
- "INIT_5": "1111110111111111111111011111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111011111110011111100111111001111111011111111111111001111110011111110111111001111110011111100111111011111110111111100111111011111110011111100",
- "INIT_6": "1111110011111100111111101111110011111100111111001111110011111110111111001111111011111100111111001111110011111100111111001111111011111100111111001111110111111111111111001111110011111100111111101111110011111101111111011111110111111101111111011111110011111110",
- "INIT_7": "1111110011111100111111001111111011111110111111001111110011111110111111001111110011111100111111001111110011111110111111001111111011111100111111101111111011111110111111001111111011111110111111001111110011111100111111001111111011111110111111101111110011111100",
- "INIT_8": "1111110011111100111111001111110011111100111111001111110011111100111111101111110011111100111111001111110011111100111111101111111011111100111111101111110011111100111111001111110011111110111111001111110011111110111111001111110011111100111111001111110011111100",
- "INIT_9": "1111110111111100111111001111110011111100111111111111110111111100111111001111111011111101111111001111110011111100111111011111110011111100111111001111110011111100111111001111111011111100111111101111110011111100111111001111110011111100111111101111110011111100",
- "INIT_A": "1111110011111100111111011111110011111100111111101111110111111100111111001111111011111100111111001111110111111111111111001111110011111100111111001111110011111110111111001111110011111100111111001111111011111100111111001111111011111110111111001111110011111101",
- "INIT_B": "1111110011111101111111101111110111111100111111101111111011111100111111011111111011111100111111011111111011111100111111001111111111111110111111011111110011111101111111001111110011111100111111001111110011111111111111101111110011111101111111101111111011111101",
- "INIT_C": "1111111011111110111111101111111011111111111111101111111111111110111111101111111011111110111111111111111111111110111111101111111011111100111111011111110011111101111111011111110011111100111111011111110011111100111111011111110011111100111111011111110011111110",
- "INIT_D": "1111111111111111111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111111111111111111111111111111111111111111111011111111111111101111111011111110111111101111111011111110",
- "INIT_E": "1111111011111110111111101111111011111110111111101111111011111111111111101111111111111111111111111111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111111111111011111111111111101111111011111111111111101111111011111111",
- "INIT_F": "1111111011111110111111101111111011111110111111101111111011111110111111101111111111111110111111101111111011111110111111101111111111111110111111101111111011111110111111101111111011111110111111111111111011111110111111111111111111111110111111101111111011111111",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:330|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5238, 5239, 5240, 2755, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 2758, 5248, 5249, 5250, 5251 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 483, "0", "0", "0", "0", "0", "0", "0", 488, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn16._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110111111100111111101111110111111100111111001111111011111100111111011111110011111110111111011111111011111100111111011111110011111100111111001111110111111110111111101111110011111101111111001111110111111100111111001111110011111110111111001111110011111110",
- "INIT_1": "1111111011111110111111101111110011111110111111101111110011111100111111101111111011111111111111001111110011111110111111001111110011111100111111001111110011111100111111101111110011111111111111001111110011111111111111101111110011111100111111001111111111111100",
- "INIT_2": "1111110111111100111111011111110111111101111111011111111011111111111111111111110011111100111111101111110011111110111111001111111011111100111111001111110011111110111111101111111011111101111111111111110111111100111111011111110011111110111111011111111111111100",
- "INIT_3": "1111110011111101111111001111110011111100111111001111111111111100111111011111110011111101111111001111110011111101111111001111110011111100111111001111111111111100111111011111110111111100111111011111110011111100111111001111110011111101111111001111110011111100",
- "INIT_4": "1111110011111100111111001111110011111100111111001111110011111100111111011111110011111100111111011111110011111100111111011111110011111100111111001111110111111100111111101111110011111110111111101111111011111100111111011111110011111111111111011111110111111101",
- "INIT_5": "1111111111111100111111101111111111111100111111111111110111111110111111001111111011111100111111101111110011111110111111111111110011111100111111101111110011111110111111001111111011111100111111001111110011111100111111011111110011111101111111001111110011111101",
- "INIT_6": "1111110011111100111111101111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111011111110011111100111111011111110011111101111111001111110111111100111111001111110011111100111111001111110111111101111111001111110111111101",
- "INIT_7": "1111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111001111110011111110111111001111110011111100111111001111110011111100111111001111110011111110111111101111110011111100",
- "INIT_8": "1111110011111100111111001111110011111100111111001111110011111100111111101111110011111100111111001111110011111100111111101111110011111110111111001111110011111110111111001111110011111110111111001111110011111100111111001111110011111100111111001111110011111100",
- "INIT_9": "1111110011111100111111001111110011111100111111001111111011111100111111001111110011111100111111001111110011111100111111011111110011111100111111001111110011111100111111001111110011111100111111001111110011111100111111111111110111111100111111011111110011111100",
- "INIT_A": "1111110011111100111111001111110011111100111111001111110011111100111111001111110011111110111111001111110111111100111111001111110111111101111111001111110011111100111111001111110011111101111111011111111111111100111111001111110111111111111111001111110011111100",
- "INIT_B": "1111110111111100111111111111110011111100111111011111111111111100111111011111110011111100111111001111111111111100111111011111110011111111111111001111110111111100111111001111110111111101111111001111110011111100111111101111110011111100111111001111110011111100",
- "INIT_C": "1111111011111110111111101111111011111111111111111111111111111110111111101111111111111110111111101111111011111110111111101111111011111100111111001111110011111100111111011111110011111100111111001111110011111100111111001111110011111101111111001111110111111100",
- "INIT_D": "1111111111111110111111111111111111111111111111111111111011111111111111111111111011111110111111101111111011111110111111101111111011111110111111101111111111111111111111111111111011111111111111101111111111111111111111111111111111111110111111111111111011111110",
- "INIT_E": "1111111011111110111111101111111011111110111111101111111111111111111111111111111011111111111111101111111111111110111111101111111111111110111111101111111011111110111111101111111011111110111111101111111111111111111111111111111111111111111111101111111111111110",
- "INIT_F": "1111111111111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111110111111101111111011111111111111101111111111111111111111111111111011111110111111111111111011111110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:355|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5252, 5253, 5254, 2761, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 2764, 5262, 5263, 5264, 5265 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 493, "0", "0", "0", "0", "0", "0", "0", 498, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_bn17._ram": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "1111110011111111111111001111111011111100111111101111110011111101111111001111111011111100111111101111110011111110111111001111111111111110111111011111111011111101111111001111111011111100111111111111111011111100111111001111110011111100111111101111110011111101",
- "INIT_1": "1111110011111111111111001111111011111100111111111111110111111110111111001111111111111100111111101111110011111101111111001111110111111110111111011111110111111100111111001111111011111100111111101111110011111100111111001111111011111100111111111111110011111101",
- "INIT_2": "1111110011111111111111001111111111111100111111111111110011111110111111001111110111111100111111011111110011111101111111011111110011111100111111011111111011111101111111001111111011111100111111011111110011111101111111001111110111111100111111001111110011111111",
- "INIT_3": "1111110011111100111111001111111011111101111111101111110011111101111111001111111111111100111111111111110011111110111111001111111011111100111111111111110011111101111111001111111111111110111111001111110011111110111111001111111111111101111111101111111011111100",
- "INIT_4": "1111110011111110111111001111111111111111111111001111110011111100111111001111111011111100111111101111111011111100111111001111110111111100111111111111110011111111111111001111110111111100111111111111110011111110111111001111111111111100111111111111110011111111",
- "INIT_5": "1111110011111111111111001111111111111100111111001111110011111101111111011111110011111100111111011111110011111101111111001111111011111110111111011111110011111111111111001111110111111100111111011111110011111110111111001111111111111110111111011111110011111100",
- "INIT_6": "1111111011111100111111001111110011111100111111101111110011111110111111001111111011111110111111001111110011111110111111001111111111111101111111101111110011111111111111001111111011111100111111101111110011111111111111001111111111111110111111011111110011111111",
- "INIT_7": "1111110011111110111111001111111011111100111111101111110011111110111111001111111011111110111111001111110011111110111111001111111011111100111111101111111011111100111111001111111011111100111111001111110011111110111111001111111011111110111111101111110011111110",
- "INIT_8": "1111110011111110111111001111111011111110111111001111110011111110111111001111110011111100111111101111110011111100111111001111111011111100111111101111110011111100111111001111110011111100111111001111110011111110111111001111111011111100111111101111110011111110",
- "INIT_9": "1111110011111111111111001111111111111100111111111111110011111111111111001111111111111110111111001111111011111101111111001111111011111100111111111111110011111111111111001111111111111101111111101111110011111110111111001111111111111100111111101111111011111100",
- "INIT_A": "1111111011111101111111011111111011111100111111111111110111111110111111001111111111111100111111101111110011111111111111101111110011111110111111011111110111111110111111001111111011111100111111111111110011111101111111001111111011111100111111111111110011111111",
- "INIT_B": "1111110011111111111111001111110111111100111111101111110011111111111111001111111111111100111111111111110011111101111111001111111111111100111111111111110011111111111111001111111011111110111111011111110011111111111111001111110111111101111111101111110011111111",
- "INIT_C": "1111111011111111111111111111111011111110111111111111111011111111111111101111111011111110111111101111111011111110111111101111111111111100111111011111110011111101111111001111110011111100111111011111110011111101111111011111110011111100111111011111110011111101",
- "INIT_D": "1111111011111111111111101111111111111110111111111111111011111110111111101111111111111111111111101111111011111111111111101111111111111110111111101111111011111111111111101111111111111110111111101111111011111111111111101111111111111110111111101111111011111110",
- "INIT_E": "1111111011111111111111111111111011111110111111111111111011111111111111101111111111111110111111111111111011111111111111101111111011111110111111101111111011111111111111101111111111111110111111111111111011111111111111101111111111111110111111111111111011111111",
- "INIT_F": "1111111011111111111111101111111111111110111111111111111011111111111111101111111111111110111111111111111011111111111111101111111111111110111111111111111011111111111111101111111011111110111111111111111011111111111111101111111111111110111111101111111011111110",
- "READ_MODE": 3,
- "WRITE_MODE": 3
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "../build/ram.v:380|j4a.v:33"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
- "RADDR": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "RCLK": [ 3053 ],
- "RCLKE": [ "1" ],
- "RDATA": [ 5266, 5267, 5268, 2767, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 2770, 5276, 5277, 5278, 5279 ],
- "RE": [ "1" ],
- "WADDR": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "WCLK": [ 3053 ],
- "WCLKE": [ 2710 ],
- "WDATA": [ "0", "0", "0", 503, "0", "0", "0", "0", "0", "0", "0", 508, "0", "0", "0", "0" ],
- "WE": [ 2720 ]
- }
- },
- "_leds.io[0]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 368 ],
- "D_OUT_0": [ 369 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 3 ]
- }
- },
- "_leds.io[10]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 388 ],
- "D_OUT_0": [ 389 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 13 ]
- }
- },
- "_leds.io[11]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 390 ],
- "D_OUT_0": [ 391 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 14 ]
- }
- },
- "_leds.io[12]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 392 ],
- "D_OUT_0": [ 393 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 15 ]
- }
- },
- "_leds.io[13]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 394 ],
- "D_OUT_0": [ 395 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 16 ]
- }
- },
- "_leds.io[14]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 396 ],
- "D_OUT_0": [ 397 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 17 ]
- }
- },
- "_leds.io[15]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 398 ],
- "D_OUT_0": [ 399 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 18 ]
- }
- },
- "_leds.io[1]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 370 ],
- "D_OUT_0": [ 371 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 4 ]
- }
- },
- "_leds.io[2]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 372 ],
- "D_OUT_0": [ 373 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 5 ]
- }
- },
- "_leds.io[3]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 374 ],
- "D_OUT_0": [ 375 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 6 ]
- }
- },
- "_leds.io[4]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 376 ],
- "D_OUT_0": [ 377 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 7 ]
- }
- },
- "_leds.io[5]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 378 ],
- "D_OUT_0": [ 379 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 8 ]
- }
- },
- "_leds.io[6]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 380 ],
- "D_OUT_0": [ 381 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 9 ]
- }
- },
- "_leds.io[7]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 382 ],
- "D_OUT_0": [ 383 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 10 ]
- }
- },
- "_leds.io[8]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 384 ],
- "D_OUT_0": [ 385 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 11 ]
- }
- },
- "_leds.io[9]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:359|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2773 ],
- "D_IN_0": [ 386 ],
- "D_OUT_0": [ 387 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 12 ]
- }
- },
- "_mod.io[0]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 336 ],
- "D_OUT_0": [ 337 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2470 ],
- "PACKAGE_PIN": [ 25 ]
- }
- },
- "_mod.io[10]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 356 ],
- "D_OUT_0": [ 357 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2638 ],
- "PACKAGE_PIN": [ 35 ]
- }
- },
- "_mod.io[11]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 358 ],
- "D_OUT_0": [ 359 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2653 ],
- "PACKAGE_PIN": [ 36 ]
- }
- },
- "_mod.io[12]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 360 ],
- "D_OUT_0": [ 361 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2668 ],
- "PACKAGE_PIN": [ 37 ]
- }
- },
- "_mod.io[13]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 362 ],
- "D_OUT_0": [ 363 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2679 ],
- "PACKAGE_PIN": [ 38 ]
- }
- },
- "_mod.io[14]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 364 ],
- "D_OUT_0": [ 365 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2692 ],
- "PACKAGE_PIN": [ 39 ]
- }
- },
- "_mod.io[15]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 366 ],
- "D_OUT_0": [ 367 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2705 ],
- "PACKAGE_PIN": [ 40 ]
- }
- },
- "_mod.io[1]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 338 ],
- "D_OUT_0": [ 339 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2481 ],
- "PACKAGE_PIN": [ 26 ]
- }
- },
- "_mod.io[2]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 340 ],
- "D_OUT_0": [ 341 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2510 ],
- "PACKAGE_PIN": [ 27 ]
- }
- },
- "_mod.io[3]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 342 ],
- "D_OUT_0": [ 343 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2526 ],
- "PACKAGE_PIN": [ 28 ]
- }
- },
- "_mod.io[4]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 344 ],
- "D_OUT_0": [ 345 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2541 ],
- "PACKAGE_PIN": [ 29 ]
- }
- },
- "_mod.io[5]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 346 ],
- "D_OUT_0": [ 347 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2564 ],
- "PACKAGE_PIN": [ 30 ]
- }
- },
- "_mod.io[6]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 348 ],
- "D_OUT_0": [ 349 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2577 ],
- "PACKAGE_PIN": [ 31 ]
- }
- },
- "_mod.io[7]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 350 ],
- "D_OUT_0": [ 351 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2592 ],
- "PACKAGE_PIN": [ 32 ]
- }
- },
- "_mod.io[8]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 352 ],
- "D_OUT_0": [ 353 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2609 ],
- "PACKAGE_PIN": [ 33 ]
- }
- },
- "_mod.io[9]._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 37
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:266|j4a.v:82"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2772 ],
- "D_IN_0": [ 354 ],
- "D_OUT_0": [ 355 ],
- "OUTPUT_CLK": [ 3053 ],
- "OUTPUT_ENABLE": [ 2621 ],
- "PACKAGE_PIN": [ 34 ]
- }
- },
- "_rcxd._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:339|j4a.v:115"
- },
- "port_directions": {
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 2775 ],
- "INPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 20 ]
- }
- },
- "_sb_warmboot": {
- "hide_name": 0,
- "type": "SB_WARMBOOT",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:469"
- },
- "port_directions": {
- "BOOT": "input",
- "S0": "input",
- "S1": "input"
- },
- "connections": {
- "BOOT": [ 3056 ],
- "S0": [ 3055 ],
- "S1": [ 3054 ]
- }
- },
- "_spi._miso": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:22"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2785 ],
- "D_IN_0": [ 3277 ],
- "INPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 41 ]
- }
- },
- "_spi._mosi": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:57"
- },
- "port_directions": {
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_OUT_0": [ 3269 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 42 ]
- }
- },
- "_spi._scl": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:288|../verilog/spimaster.v:52"
- },
- "port_directions": {
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_OUT_0": [ 149 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 43 ]
- }
- },
- "_spi2._miso": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:26"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 3268 ],
- "INPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 44 ]
- }
- },
- "_spi2._mosi": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:65"
- },
- "port_directions": {
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_OUT_0": [ 3260 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 45 ]
- }
- },
- "_spi2._scl": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:300|../verilog/spimaster_le.v:60"
- },
- "port_directions": {
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_OUT_0": [ 144 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 46 ]
- }
- },
- "_spi3.sb_io_cs": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:10"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 130 ],
- "INPUT_CLK": [ 3242 ],
- "PACKAGE_PIN": [ 47 ]
- }
- },
- "_spi3.sb_io_mosi": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:20"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "INPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 3243 ],
- "INPUT_CLK": [ 3242 ],
- "PACKAGE_PIN": [ 49 ]
- }
- },
- "_spi3.sb_io_scl": {
- "hide_name": 0,
- "type": "SB_GB_IO",
- "parameters": {
- "PIN_TYPE": 0
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:311|../verilog/spislaverx.v:16"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 3242 ],
- "PACKAGE_PIN": [ 48 ]
- }
- },
- "pio0._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:370|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2774 ],
- "D_IN_0": [ 2525 ],
- "D_OUT_0": [ 200 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 24 ]
- }
- },
- "pio1._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:371|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2774 ],
- "D_IN_0": [ 2543 ],
- "D_OUT_0": [ 202 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 23 ]
- }
- },
- "pio2._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:372|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ 2774 ],
- "D_IN_0": [ 2561 ],
- "D_OUT_0": [ 204 ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 21 ]
- }
- },
- "spowerpin0._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:313|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 5280 ],
- "D_OUT_0": [ "1" ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 50 ]
- }
- },
- "spowerpin1._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:314|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 5281 ],
- "D_OUT_0": [ "1" ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 51 ]
- }
- },
- "spowerpin2._io": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 21
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:315|j4a.v:102"
- },
- "port_directions": {
- "CLOCK_ENABLE": "input",
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_CLK": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "CLOCK_ENABLE": [ "1" ],
- "D_IN_0": [ 5282 ],
- "D_OUT_0": [ "1" ],
- "OUTPUT_CLK": [ 3053 ],
- "PACKAGE_PIN": [ 52 ]
- }
- },
- "uut": {
- "hide_name": 0,
- "type": "SB_PLL40_CORE",
- "parameters": {
- "DIVF": 3,
- "DIVQ": 0,
- "DIVR": 0,
- "FEEDBACK_PATH": "SIMPLE",
- "FILTER_RANGE": 1,
- "PLLOUT_SELECT": "GENCLK"
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "j4a.v:185"
- },
- "port_directions": {
- "BYPASS": "input",
- "LOCK": "output",
- "PLLOUTCORE": "output",
- "REFERENCECLK": "input",
- "RESETB": "input"
- },
- "connections": {
- "BYPASS": [ "0" ],
- "LOCK": [ 78 ],
- "PLLOUTCORE": [ 3053 ],
- "REFERENCECLK": [ 2 ],
- "RESETB": [ 53 ]
- }
- }
- },
- "netnames": {
- "$0\\unlocked[0:0]": {
- "hide_name": 1,
- "bits": [ 2711 ],
- "attributes": {
- "src": "j4a.v:501"
- }
- },
- "$abc$17798$n1": {
- "hide_name": 1,
- "bits": [ 2816 ],
- "attributes": {
- }
- },
- "$abc$17798$n1015": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$17798$n1019": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$17798$n102": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$17798$n1025": {
- "hide_name": 1,
- "bits": [ 82 ],
- "attributes": {
- }
- },
- "$abc$17798$n105": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$17798$n1070": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$17798$n108": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$17798$n109": {
- "hide_name": 1,
- "bits": [ 79 ],
- "attributes": {
- }
- },
- "$abc$17798$n11": {
- "hide_name": 1,
- "bits": [ 2778 ],
- "attributes": {
- }
- },
- "$abc$17798$n112": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$17798$n114": {
- "hide_name": 1,
- "bits": [ 137 ],
- "attributes": {
- }
- },
- "$abc$17798$n119": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$17798$n124": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$17798$n128": {
- "hide_name": 1,
- "bits": [ 147 ],
- "attributes": {
- }
- },
- "$abc$17798$n13": {
- "hide_name": 1,
- "bits": [ 2779 ],
- "attributes": {
- }
- },
- "$abc$17798$n133": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$17798$n137": {
- "hide_name": 1,
- "bits": [ 150 ],
- "attributes": {
- }
- },
- "$abc$17798$n139": {
- "hide_name": 1,
- "bits": [ 155 ],
- "attributes": {
- }
- },
- "$abc$17798$n144": {
- "hide_name": 1,
- "bits": [ 160 ],
- "attributes": {
- }
- },
- "$abc$17798$n15": {
- "hide_name": 1,
- "bits": [ 57 ],
- "attributes": {
- }
- },
- "$abc$17798$n150": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$17798$n151": {
- "hide_name": 1,
- "bits": [ 183 ],
- "attributes": {
- }
- },
- "$abc$17798$n1536": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$17798$n1538": {
- "hide_name": 1,
- "bits": [ 203 ],
- "attributes": {
- }
- },
- "$abc$17798$n1540": {
- "hide_name": 1,
- "bits": [ 205 ],
- "attributes": {
- }
- },
- "$abc$17798$n1542": {
- "hide_name": 1,
- "bits": [ 207 ],
- "attributes": {
- }
- },
- "$abc$17798$n1544": {
- "hide_name": 1,
- "bits": [ 209 ],
- "attributes": {
- }
- },
- "$abc$17798$n1546": {
- "hide_name": 1,
- "bits": [ 211 ],
- "attributes": {
- }
- },
- "$abc$17798$n1548": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$17798$n1550": {
- "hide_name": 1,
- "bits": [ 215 ],
- "attributes": {
- }
- },
- "$abc$17798$n1552": {
- "hide_name": 1,
- "bits": [ 217 ],
- "attributes": {
- }
- },
- "$abc$17798$n1554": {
- "hide_name": 1,
- "bits": [ 219 ],
- "attributes": {
- }
- },
- "$abc$17798$n1556": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$17798$n1558": {
- "hide_name": 1,
- "bits": [ 223 ],
- "attributes": {
- }
- },
- "$abc$17798$n1560": {
- "hide_name": 1,
- "bits": [ 225 ],
- "attributes": {
- }
- },
- "$abc$17798$n1562": {
- "hide_name": 1,
- "bits": [ 227 ],
- "attributes": {
- }
- },
- "$abc$17798$n1564": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$17798$n1566": {
- "hide_name": 1,
- "bits": [ 231 ],
- "attributes": {
- }
- },
- "$abc$17798$n1570": {
- "hide_name": 1,
- "bits": [ 233 ],
- "attributes": {
- }
- },
- "$abc$17798$n1579": {
- "hide_name": 1,
- "bits": [ 235 ],
- "attributes": {
- }
- },
- "$abc$17798$n1581": {
- "hide_name": 1,
- "bits": [ 237 ],
- "attributes": {
- }
- },
- "$abc$17798$n1583": {
- "hide_name": 1,
- "bits": [ 239 ],
- "attributes": {
- }
- },
- "$abc$17798$n1585": {
- "hide_name": 1,
- "bits": [ 241 ],
- "attributes": {
- }
- },
- "$abc$17798$n1587": {
- "hide_name": 1,
- "bits": [ 243 ],
- "attributes": {
- }
- },
- "$abc$17798$n1589": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$17798$n1591": {
- "hide_name": 1,
- "bits": [ 247 ],
- "attributes": {
- }
- },
- "$abc$17798$n1592": {
- "hide_name": 1,
- "bits": [ 249 ],
- "attributes": {
- }
- },
- "$abc$17798$n1594": {
- "hide_name": 1,
- "bits": [ 251 ],
- "attributes": {
- }
- },
- "$abc$17798$n1596": {
- "hide_name": 1,
- "bits": [ 253 ],
- "attributes": {
- }
- },
- "$abc$17798$n1598": {
- "hide_name": 1,
- "bits": [ 255 ],
- "attributes": {
- }
- },
- "$abc$17798$n1600": {
- "hide_name": 1,
- "bits": [ 257 ],
- "attributes": {
- }
- },
- "$abc$17798$n1602": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$17798$n1604": {
- "hide_name": 1,
- "bits": [ 261 ],
- "attributes": {
- }
- },
- "$abc$17798$n1606": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$17798$n1608": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$17798$n161": {
- "hide_name": 1,
- "bits": [ 184 ],
- "attributes": {
- }
- },
- "$abc$17798$n1610": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$17798$n1612": {
- "hide_name": 1,
- "bits": [ 269 ],
- "attributes": {
- }
- },
- "$abc$17798$n1614": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$17798$n1616": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$17798$n1618": {
- "hide_name": 1,
- "bits": [ 275 ],
- "attributes": {
- }
- },
- "$abc$17798$n1620": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$17798$n1622": {
- "hide_name": 1,
- "bits": [ 279 ],
- "attributes": {
- }
- },
- "$abc$17798$n1625": {
- "hide_name": 1,
- "bits": [ 281 ],
- "attributes": {
- }
- },
- "$abc$17798$n164": {
- "hide_name": 1,
- "bits": [ 188 ],
- "attributes": {
- }
- },
- "$abc$17798$n1642": {
- "hide_name": 1,
- "bits": [ 283 ],
- "attributes": {
- }
- },
- "$abc$17798$n1644": {
- "hide_name": 1,
- "bits": [ 285 ],
- "attributes": {
- }
- },
- "$abc$17798$n1646": {
- "hide_name": 1,
- "bits": [ 287 ],
- "attributes": {
- }
- },
- "$abc$17798$n1648": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$17798$n1650": {
- "hide_name": 1,
- "bits": [ 291 ],
- "attributes": {
- }
- },
- "$abc$17798$n1652": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$17798$n1654": {
- "hide_name": 1,
- "bits": [ 295 ],
- "attributes": {
- }
- },
- "$abc$17798$n1655": {
- "hide_name": 1,
- "bits": [ 297 ],
- "attributes": {
- }
- },
- "$abc$17798$n1657": {
- "hide_name": 1,
- "bits": [ 299 ],
- "attributes": {
- }
- },
- "$abc$17798$n1659": {
- "hide_name": 1,
- "bits": [ 301 ],
- "attributes": {
- }
- },
- "$abc$17798$n1661": {
- "hide_name": 1,
- "bits": [ 303 ],
- "attributes": {
- }
- },
- "$abc$17798$n1663": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$17798$n1665": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$17798$n1667": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$17798$n1669": {
- "hide_name": 1,
- "bits": [ 311 ],
- "attributes": {
- }
- },
- "$abc$17798$n167": {
- "hide_name": 1,
- "bits": [ 190 ],
- "attributes": {
- }
- },
- "$abc$17798$n1671": {
- "hide_name": 1,
- "bits": [ 314 ],
- "attributes": {
- }
- },
- "$abc$17798$n1673": {
- "hide_name": 1,
- "bits": [ 317 ],
- "attributes": {
- }
- },
- "$abc$17798$n1675": {
- "hide_name": 1,
- "bits": [ 320 ],
- "attributes": {
- }
- },
- "$abc$17798$n1677": {
- "hide_name": 1,
- "bits": [ 323 ],
- "attributes": {
- }
- },
- "$abc$17798$n1679": {
- "hide_name": 1,
- "bits": [ 326 ],
- "attributes": {
- }
- },
- "$abc$17798$n1681": {
- "hide_name": 1,
- "bits": [ 329 ],
- "attributes": {
- }
- },
- "$abc$17798$n1683": {
- "hide_name": 1,
- "bits": [ 332 ],
- "attributes": {
- }
- },
- "$abc$17798$n1685": {
- "hide_name": 1,
- "bits": [ 335 ],
- "attributes": {
- }
- },
- "$abc$17798$n17": {
- "hide_name": 1,
- "bits": [ 2780 ],
- "attributes": {
- }
- },
- "$abc$17798$n170": {
- "hide_name": 1,
- "bits": [ 192 ],
- "attributes": {
- }
- },
- "$abc$17798$n173": {
- "hide_name": 1,
- "bits": [ 194 ],
- "attributes": {
- }
- },
- "$abc$17798$n1751": {
- "hide_name": 1,
- "bits": [ 401 ],
- "attributes": {
- }
- },
- "$abc$17798$n1753": {
- "hide_name": 1,
- "bits": [ 403 ],
- "attributes": {
- }
- },
- "$abc$17798$n1755": {
- "hide_name": 1,
- "bits": [ 405 ],
- "attributes": {
- }
- },
- "$abc$17798$n1757": {
- "hide_name": 1,
- "bits": [ 407 ],
- "attributes": {
- }
- },
- "$abc$17798$n1759": {
- "hide_name": 1,
- "bits": [ 409 ],
- "attributes": {
- }
- },
- "$abc$17798$n1761": {
- "hide_name": 1,
- "bits": [ 411 ],
- "attributes": {
- }
- },
- "$abc$17798$n1763": {
- "hide_name": 1,
- "bits": [ 413 ],
- "attributes": {
- }
- },
- "$abc$17798$n1764": {
- "hide_name": 1,
- "bits": [ 414 ],
- "attributes": {
- }
- },
- "$abc$17798$n1765": {
- "hide_name": 1,
- "bits": [ 415 ],
- "attributes": {
- }
- },
- "$abc$17798$n1767": {
- "hide_name": 1,
- "bits": [ 416 ],
- "attributes": {
- }
- },
- "$abc$17798$n1768": {
- "hide_name": 1,
- "bits": [ 417 ],
- "attributes": {
- }
- },
- "$abc$17798$n1769": {
- "hide_name": 1,
- "bits": [ 418 ],
- "attributes": {
- }
- },
- "$abc$17798$n1770": {
- "hide_name": 1,
- "bits": [ 419 ],
- "attributes": {
- }
- },
- "$abc$17798$n1771": {
- "hide_name": 1,
- "bits": [ 420 ],
- "attributes": {
- }
- },
- "$abc$17798$n1772": {
- "hide_name": 1,
- "bits": [ 421 ],
- "attributes": {
- }
- },
- "$abc$17798$n1773": {
- "hide_name": 1,
- "bits": [ 422 ],
- "attributes": {
- }
- },
- "$abc$17798$n19": {
- "hide_name": 1,
- "bits": [ 2781 ],
- "attributes": {
- }
- },
- "$abc$17798$n21": {
- "hide_name": 1,
- "bits": [ 2782 ],
- "attributes": {
- }
- },
- "$abc$17798$n23": {
- "hide_name": 1,
- "bits": [ 2783 ],
- "attributes": {
- }
- },
- "$abc$17798$n25": {
- "hide_name": 1,
- "bits": [ 2808 ],
- "attributes": {
- }
- },
- "$abc$17798$n29": {
- "hide_name": 1,
- "bits": [ 77 ],
- "attributes": {
- }
- },
- "$abc$17798$n3": {
- "hide_name": 1,
- "bits": [ 2776 ],
- "attributes": {
- }
- },
- "$abc$17798$n3556": {
- "hide_name": 1,
- "bits": [ 2228 ],
- "attributes": {
- }
- },
- "$abc$17798$n3557": {
- "hide_name": 1,
- "bits": [ 2229 ],
- "attributes": {
- }
- },
- "$abc$17798$n3559": {
- "hide_name": 1,
- "bits": [ 2806 ],
- "attributes": {
- }
- },
- "$abc$17798$n3560": {
- "hide_name": 1,
- "bits": [ 2230 ],
- "attributes": {
- }
- },
- "$abc$17798$n3561": {
- "hide_name": 1,
- "bits": [ 2231 ],
- "attributes": {
- }
- },
- "$abc$17798$n3562": {
- "hide_name": 1,
- "bits": [ 2232 ],
- "attributes": {
- }
- },
- "$abc$17798$n3563": {
- "hide_name": 1,
- "bits": [ 2233 ],
- "attributes": {
- }
- },
- "$abc$17798$n3564": {
- "hide_name": 1,
- "bits": [ 2234 ],
- "attributes": {
- }
- },
- "$abc$17798$n3565": {
- "hide_name": 1,
- "bits": [ 2235 ],
- "attributes": {
- }
- },
- "$abc$17798$n3566": {
- "hide_name": 1,
- "bits": [ 2236 ],
- "attributes": {
- }
- },
- "$abc$17798$n3567": {
- "hide_name": 1,
- "bits": [ 2237 ],
- "attributes": {
- }
- },
- "$abc$17798$n3619": {
- "hide_name": 1,
- "bits": [ 2805 ],
- "attributes": {
- }
- },
- "$abc$17798$n3620": {
- "hide_name": 1,
- "bits": [ 2804 ],
- "attributes": {
- }
- },
- "$abc$17798$n3622": {
- "hide_name": 1,
- "bits": [ 2803 ],
- "attributes": {
- }
- },
- "$abc$17798$n3628": {
- "hide_name": 1,
- "bits": [ 2807 ],
- "attributes": {
- }
- },
- "$abc$17798$n3629": {
- "hide_name": 1,
- "bits": [ 2784 ],
- "attributes": {
- }
- },
- "$abc$17798$n3631": {
- "hide_name": 1,
- "bits": [ 2801 ],
- "attributes": {
- }
- },
- "$abc$17798$n3632": {
- "hide_name": 1,
- "bits": [ 2800 ],
- "attributes": {
- }
- },
- "$abc$17798$n3633": {
- "hide_name": 1,
- "bits": [ 2799 ],
- "attributes": {
- }
- },
- "$abc$17798$n3634": {
- "hide_name": 1,
- "bits": [ 2798 ],
- "attributes": {
- }
- },
- "$abc$17798$n3635": {
- "hide_name": 1,
- "bits": [ 2797 ],
- "attributes": {
- }
- },
- "$abc$17798$n3636": {
- "hide_name": 1,
- "bits": [ 2796 ],
- "attributes": {
- }
- },
- "$abc$17798$n3637": {
- "hide_name": 1,
- "bits": [ 2795 ],
- "attributes": {
- }
- },
- "$abc$17798$n3638": {
- "hide_name": 1,
- "bits": [ 2794 ],
- "attributes": {
- }
- },
- "$abc$17798$n3639": {
- "hide_name": 1,
- "bits": [ 2793 ],
- "attributes": {
- }
- },
- "$abc$17798$n3640": {
- "hide_name": 1,
- "bits": [ 2792 ],
- "attributes": {
- }
- },
- "$abc$17798$n3641": {
- "hide_name": 1,
- "bits": [ 2791 ],
- "attributes": {
- }
- },
- "$abc$17798$n3642": {
- "hide_name": 1,
- "bits": [ 2790 ],
- "attributes": {
- }
- },
- "$abc$17798$n3643": {
- "hide_name": 1,
- "bits": [ 2789 ],
- "attributes": {
- }
- },
- "$abc$17798$n3644": {
- "hide_name": 1,
- "bits": [ 2788 ],
- "attributes": {
- }
- },
- "$abc$17798$n3645": {
- "hide_name": 1,
- "bits": [ 2787 ],
- "attributes": {
- }
- },
- "$abc$17798$n3646": {
- "hide_name": 1,
- "bits": [ 2786 ],
- "attributes": {
- }
- },
- "$abc$17798$n38": {
- "hide_name": 1,
- "bits": [ 89 ],
- "attributes": {
- }
- },
- "$abc$17798$n3821": {
- "hide_name": 1,
- "bits": [ 2709 ],
- "attributes": {
- }
- },
- "$abc$17798$n3869": {
- "hide_name": 1,
- "bits": [ 2712 ],
- "attributes": {
- }
- },
- "$abc$17798$n3879": {
- "hide_name": 1,
- "bits": [ 2717 ],
- "attributes": {
- }
- },
- "$abc$17798$n3897": {
- "hide_name": 1,
- "bits": [ 2301 ],
- "attributes": {
- }
- },
- "$abc$17798$n3898": {
- "hide_name": 1,
- "bits": [ 2348 ],
- "attributes": {
- }
- },
- "$abc$17798$n3899": {
- "hide_name": 1,
- "bits": [ 2353 ],
- "attributes": {
- }
- },
- "$abc$17798$n3900": {
- "hide_name": 1,
- "bits": [ 2360 ],
- "attributes": {
- }
- },
- "$abc$17798$n3901": {
- "hide_name": 1,
- "bits": [ 2901 ],
- "attributes": {
- }
- },
- "$abc$17798$n3902": {
- "hide_name": 1,
- "bits": [ 2372 ],
- "attributes": {
- }
- },
- "$abc$17798$n3903": {
- "hide_name": 1,
- "bits": [ 2384 ],
- "attributes": {
- }
- },
- "$abc$17798$n3904": {
- "hide_name": 1,
- "bits": [ 2398 ],
- "attributes": {
- }
- },
- "$abc$17798$n3905": {
- "hide_name": 1,
- "bits": [ 2957 ],
- "attributes": {
- }
- },
- "$abc$17798$n3906": {
- "hide_name": 1,
- "bits": [ 2411 ],
- "attributes": {
- }
- },
- "$abc$17798$n3907": {
- "hide_name": 1,
- "bits": [ 2418 ],
- "attributes": {
- }
- },
- "$abc$17798$n3908": {
- "hide_name": 1,
- "bits": [ 2429 ],
- "attributes": {
- }
- },
- "$abc$17798$n3909": {
- "hide_name": 1,
- "bits": [ 2432 ],
- "attributes": {
- }
- },
- "$abc$17798$n3910": {
- "hide_name": 1,
- "bits": [ 2441 ],
- "attributes": {
- }
- },
- "$abc$17798$n3911": {
- "hide_name": 1,
- "bits": [ 2446 ],
- "attributes": {
- }
- },
- "$abc$17798$n3912": {
- "hide_name": 1,
- "bits": [ 2936 ],
- "attributes": {
- }
- },
- "$abc$17798$n3938": {
- "hide_name": 1,
- "bits": [ 2721 ],
- "attributes": {
- }
- },
- "$abc$17798$n3939": {
- "hide_name": 1,
- "bits": [ 2722 ],
- "attributes": {
- }
- },
- "$abc$17798$n4": {
- "hide_name": 1,
- "bits": [ 59 ],
- "attributes": {
- }
- },
- "$abc$17798$n48": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$17798$n55": {
- "hide_name": 1,
- "bits": [ 95 ],
- "attributes": {
- }
- },
- "$abc$17798$n6": {
- "hide_name": 1,
- "bits": [ 163 ],
- "attributes": {
- }
- },
- "$abc$17798$n63": {
- "hide_name": 1,
- "bits": [ 98 ],
- "attributes": {
- }
- },
- "$abc$17798$n66": {
- "hide_name": 1,
- "bits": [ 100 ],
- "attributes": {
- }
- },
- "$abc$17798$n69": {
- "hide_name": 1,
- "bits": [ 102 ],
- "attributes": {
- }
- },
- "$abc$17798$n72": {
- "hide_name": 1,
- "bits": [ 104 ],
- "attributes": {
- }
- },
- "$abc$17798$n75": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$17798$n78": {
- "hide_name": 1,
- "bits": [ 108 ],
- "attributes": {
- }
- },
- "$abc$17798$n8": {
- "hide_name": 1,
- "bits": [ 161 ],
- "attributes": {
- }
- },
- "$abc$17798$n81": {
- "hide_name": 1,
- "bits": [ 110 ],
- "attributes": {
- }
- },
- "$abc$17798$n84": {
- "hide_name": 1,
- "bits": [ 112 ],
- "attributes": {
- }
- },
- "$abc$17798$n87": {
- "hide_name": 1,
- "bits": [ 114 ],
- "attributes": {
- }
- },
- "$abc$17798$n90": {
- "hide_name": 1,
- "bits": [ 116 ],
- "attributes": {
- }
- },
- "$abc$17798$n93": {
- "hide_name": 1,
- "bits": [ 118 ],
- "attributes": {
- }
- },
- "$abc$17798$n96": {
- "hide_name": 1,
- "bits": [ 120 ],
- "attributes": {
- }
- },
- "$abc$17798$n99": {
- "hide_name": 1,
- "bits": [ 122 ],
- "attributes": {
- }
- },
- "$abc$20048$n1963_1": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$20048$n1964": {
- "hide_name": 1,
- "bits": [ 58 ],
- "attributes": {
- }
- },
- "$abc$20048$n1967": {
- "hide_name": 1,
- "bits": [ 69 ],
- "attributes": {
- }
- },
- "$abc$20048$n1971_1": {
- "hide_name": 1,
- "bits": [ 81 ],
- "attributes": {
- }
- },
- "$abc$20048$n1972_1": {
- "hide_name": 1,
- "bits": [ 83 ],
- "attributes": {
- }
- },
- "$abc$20048$n1975_1": {
- "hide_name": 1,
- "bits": [ 91 ],
- "attributes": {
- }
- },
- "$abc$20048$n1976": {
- "hide_name": 1,
- "bits": [ 93 ],
- "attributes": {
- }
- },
- "$abc$20048$n2046_1": {
- "hide_name": 1,
- "bits": [ 139 ],
- "attributes": {
- }
- },
- "$abc$20048$n2047_1": {
- "hide_name": 1,
- "bits": [ 138 ],
- "attributes": {
- }
- },
- "$abc$20048$n2052_1": {
- "hide_name": 1,
- "bits": [ 151 ],
- "attributes": {
- }
- },
- "$abc$20048$n2054": {
- "hide_name": 1,
- "bits": [ 154 ],
- "attributes": {
- }
- },
- "$abc$20048$n2057": {
- "hide_name": 1,
- "bits": [ 162 ],
- "attributes": {
- }
- },
- "$abc$20048$n2058_1": {
- "hide_name": 1,
- "bits": [ 165 ],
- "attributes": {
- }
- },
- "$abc$20048$n2060": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$20048$n2061_1": {
- "hide_name": 1,
- "bits": [ 174 ],
- "attributes": {
- }
- },
- "$abc$20048$n2062_1": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$20048$n2063": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$20048$n2064_1": {
- "hide_name": 1,
- "bits": [ 176 ],
- "attributes": {
- }
- },
- "$abc$20048$n2072": {
- "hide_name": 1,
- "bits": [ 196 ],
- "attributes": {
- }
- },
- "$abc$20048$n2131_1": {
- "hide_name": 1,
- "bits": [ 313 ],
- "attributes": {
- }
- },
- "$abc$20048$n2133_1": {
- "hide_name": 1,
- "bits": [ 316 ],
- "attributes": {
- }
- },
- "$abc$20048$n2135": {
- "hide_name": 1,
- "bits": [ 319 ],
- "attributes": {
- }
- },
- "$abc$20048$n2137_1": {
- "hide_name": 1,
- "bits": [ 322 ],
- "attributes": {
- }
- },
- "$abc$20048$n2139_1": {
- "hide_name": 1,
- "bits": [ 325 ],
- "attributes": {
- }
- },
- "$abc$20048$n2141": {
- "hide_name": 1,
- "bits": [ 328 ],
- "attributes": {
- }
- },
- "$abc$20048$n2143_1": {
- "hide_name": 1,
- "bits": [ 331 ],
- "attributes": {
- }
- },
- "$abc$20048$n2145_1": {
- "hide_name": 1,
- "bits": [ 334 ],
- "attributes": {
- }
- },
- "$abc$20048$n2191_1": {
- "hide_name": 1,
- "bits": [ 424 ],
- "attributes": {
- }
- },
- "$abc$20048$n2192": {
- "hide_name": 1,
- "bits": [ 427 ],
- "attributes": {
- }
- },
- "$abc$20048$n2193_1": {
- "hide_name": 1,
- "bits": [ 425 ],
- "attributes": {
- }
- },
- "$abc$20048$n2196_1": {
- "hide_name": 1,
- "bits": [ 431 ],
- "attributes": {
- }
- },
- "$abc$20048$n2198": {
- "hide_name": 1,
- "bits": [ 436 ],
- "attributes": {
- }
- },
- "$abc$20048$n2200_1": {
- "hide_name": 1,
- "bits": [ 441 ],
- "attributes": {
- }
- },
- "$abc$20048$n2202_1": {
- "hide_name": 1,
- "bits": [ 446 ],
- "attributes": {
- }
- },
- "$abc$20048$n2204": {
- "hide_name": 1,
- "bits": [ 451 ],
- "attributes": {
- }
- },
- "$abc$20048$n2206_1": {
- "hide_name": 1,
- "bits": [ 456 ],
- "attributes": {
- }
- },
- "$abc$20048$n2208_1": {
- "hide_name": 1,
- "bits": [ 461 ],
- "attributes": {
- }
- },
- "$abc$20048$n2210": {
- "hide_name": 1,
- "bits": [ 466 ],
- "attributes": {
- }
- },
- "$abc$20048$n2212_1": {
- "hide_name": 1,
- "bits": [ 471 ],
- "attributes": {
- }
- },
- "$abc$20048$n2214_1": {
- "hide_name": 1,
- "bits": [ 476 ],
- "attributes": {
- }
- },
- "$abc$20048$n2216": {
- "hide_name": 1,
- "bits": [ 481 ],
- "attributes": {
- }
- },
- "$abc$20048$n2218_1": {
- "hide_name": 1,
- "bits": [ 486 ],
- "attributes": {
- }
- },
- "$abc$20048$n2220_1": {
- "hide_name": 1,
- "bits": [ 491 ],
- "attributes": {
- }
- },
- "$abc$20048$n2222": {
- "hide_name": 1,
- "bits": [ 496 ],
- "attributes": {
- }
- },
- "$abc$20048$n2224_1": {
- "hide_name": 1,
- "bits": [ 501 ],
- "attributes": {
- }
- },
- "$abc$20048$n2226_1": {
- "hide_name": 1,
- "bits": [ 506 ],
- "attributes": {
- }
- },
- "$abc$20048$n2228": {
- "hide_name": 1,
- "bits": [ 510 ],
- "attributes": {
- }
- },
- "$abc$20048$n2230_1": {
- "hide_name": 1,
- "bits": [ 513 ],
- "attributes": {
- }
- },
- "$abc$20048$n2232_1": {
- "hide_name": 1,
- "bits": [ 516 ],
- "attributes": {
- }
- },
- "$abc$20048$n2234": {
- "hide_name": 1,
- "bits": [ 519 ],
- "attributes": {
- }
- },
- "$abc$20048$n2236_1": {
- "hide_name": 1,
- "bits": [ 522 ],
- "attributes": {
- }
- },
- "$abc$20048$n2238_1": {
- "hide_name": 1,
- "bits": [ 525 ],
- "attributes": {
- }
- },
- "$abc$20048$n2240": {
- "hide_name": 1,
- "bits": [ 528 ],
- "attributes": {
- }
- },
- "$abc$20048$n2242_1": {
- "hide_name": 1,
- "bits": [ 531 ],
- "attributes": {
- }
- },
- "$abc$20048$n2244_1": {
- "hide_name": 1,
- "bits": [ 534 ],
- "attributes": {
- }
- },
- "$abc$20048$n2246": {
- "hide_name": 1,
- "bits": [ 537 ],
- "attributes": {
- }
- },
- "$abc$20048$n2248_1": {
- "hide_name": 1,
- "bits": [ 540 ],
- "attributes": {
- }
- },
- "$abc$20048$n2250_1": {
- "hide_name": 1,
- "bits": [ 543 ],
- "attributes": {
- }
- },
- "$abc$20048$n2252": {
- "hide_name": 1,
- "bits": [ 546 ],
- "attributes": {
- }
- },
- "$abc$20048$n2254_1": {
- "hide_name": 1,
- "bits": [ 549 ],
- "attributes": {
- }
- },
- "$abc$20048$n2256_1": {
- "hide_name": 1,
- "bits": [ 552 ],
- "attributes": {
- }
- },
- "$abc$20048$n2258": {
- "hide_name": 1,
- "bits": [ 555 ],
- "attributes": {
- }
- },
- "$abc$20048$n2260_1": {
- "hide_name": 1,
- "bits": [ 558 ],
- "attributes": {
- }
- },
- "$abc$20048$n2262_1": {
- "hide_name": 1,
- "bits": [ 561 ],
- "attributes": {
- }
- },
- "$abc$20048$n2264": {
- "hide_name": 1,
- "bits": [ 564 ],
- "attributes": {
- }
- },
- "$abc$20048$n2266_1": {
- "hide_name": 1,
- "bits": [ 567 ],
- "attributes": {
- }
- },
- "$abc$20048$n2268_1": {
- "hide_name": 1,
- "bits": [ 570 ],
- "attributes": {
- }
- },
- "$abc$20048$n2270": {
- "hide_name": 1,
- "bits": [ 573 ],
- "attributes": {
- }
- },
- "$abc$20048$n2272_1": {
- "hide_name": 1,
- "bits": [ 576 ],
- "attributes": {
- }
- },
- "$abc$20048$n2274_1": {
- "hide_name": 1,
- "bits": [ 579 ],
- "attributes": {
- }
- },
- "$abc$20048$n2276": {
- "hide_name": 1,
- "bits": [ 582 ],
- "attributes": {
- }
- },
- "$abc$20048$n2278_1": {
- "hide_name": 1,
- "bits": [ 585 ],
- "attributes": {
- }
- },
- "$abc$20048$n2280_1": {
- "hide_name": 1,
- "bits": [ 588 ],
- "attributes": {
- }
- },
- "$abc$20048$n2282": {
- "hide_name": 1,
- "bits": [ 591 ],
- "attributes": {
- }
- },
- "$abc$20048$n2284_1": {
- "hide_name": 1,
- "bits": [ 594 ],
- "attributes": {
- }
- },
- "$abc$20048$n2286_1": {
- "hide_name": 1,
- "bits": [ 597 ],
- "attributes": {
- }
- },
- "$abc$20048$n2288": {
- "hide_name": 1,
- "bits": [ 600 ],
- "attributes": {
- }
- },
- "$abc$20048$n2290_1": {
- "hide_name": 1,
- "bits": [ 603 ],
- "attributes": {
- }
- },
- "$abc$20048$n2292_1": {
- "hide_name": 1,
- "bits": [ 606 ],
- "attributes": {
- }
- },
- "$abc$20048$n2294": {
- "hide_name": 1,
- "bits": [ 609 ],
- "attributes": {
- }
- },
- "$abc$20048$n2296_1": {
- "hide_name": 1,
- "bits": [ 612 ],
- "attributes": {
- }
- },
- "$abc$20048$n2298_1": {
- "hide_name": 1,
- "bits": [ 615 ],
- "attributes": {
- }
- },
- "$abc$20048$n2300": {
- "hide_name": 1,
- "bits": [ 618 ],
- "attributes": {
- }
- },
- "$abc$20048$n2302_1": {
- "hide_name": 1,
- "bits": [ 621 ],
- "attributes": {
- }
- },
- "$abc$20048$n2304_1": {
- "hide_name": 1,
- "bits": [ 624 ],
- "attributes": {
- }
- },
- "$abc$20048$n2306": {
- "hide_name": 1,
- "bits": [ 627 ],
- "attributes": {
- }
- },
- "$abc$20048$n2308_1": {
- "hide_name": 1,
- "bits": [ 630 ],
- "attributes": {
- }
- },
- "$abc$20048$n2310_1": {
- "hide_name": 1,
- "bits": [ 633 ],
- "attributes": {
- }
- },
- "$abc$20048$n2312": {
- "hide_name": 1,
- "bits": [ 636 ],
- "attributes": {
- }
- },
- "$abc$20048$n2314_1": {
- "hide_name": 1,
- "bits": [ 639 ],
- "attributes": {
- }
- },
- "$abc$20048$n2316_1": {
- "hide_name": 1,
- "bits": [ 642 ],
- "attributes": {
- }
- },
- "$abc$20048$n2318": {
- "hide_name": 1,
- "bits": [ 645 ],
- "attributes": {
- }
- },
- "$abc$20048$n2320_1": {
- "hide_name": 1,
- "bits": [ 648 ],
- "attributes": {
- }
- },
- "$abc$20048$n2322_1": {
- "hide_name": 1,
- "bits": [ 651 ],
- "attributes": {
- }
- },
- "$abc$20048$n2324": {
- "hide_name": 1,
- "bits": [ 654 ],
- "attributes": {
- }
- },
- "$abc$20048$n2326_1": {
- "hide_name": 1,
- "bits": [ 657 ],
- "attributes": {
- }
- },
- "$abc$20048$n2328_1": {
- "hide_name": 1,
- "bits": [ 660 ],
- "attributes": {
- }
- },
- "$abc$20048$n2330": {
- "hide_name": 1,
- "bits": [ 663 ],
- "attributes": {
- }
- },
- "$abc$20048$n2332_1": {
- "hide_name": 1,
- "bits": [ 666 ],
- "attributes": {
- }
- },
- "$abc$20048$n2334_1": {
- "hide_name": 1,
- "bits": [ 669 ],
- "attributes": {
- }
- },
- "$abc$20048$n2336": {
- "hide_name": 1,
- "bits": [ 672 ],
- "attributes": {
- }
- },
- "$abc$20048$n2338": {
- "hide_name": 1,
- "bits": [ 675 ],
- "attributes": {
- }
- },
- "$abc$20048$n2340": {
- "hide_name": 1,
- "bits": [ 678 ],
- "attributes": {
- }
- },
- "$abc$20048$n2342": {
- "hide_name": 1,
- "bits": [ 681 ],
- "attributes": {
- }
- },
- "$abc$20048$n2344": {
- "hide_name": 1,
- "bits": [ 684 ],
- "attributes": {
- }
- },
- "$abc$20048$n2346": {
- "hide_name": 1,
- "bits": [ 687 ],
- "attributes": {
- }
- },
- "$abc$20048$n2348": {
- "hide_name": 1,
- "bits": [ 690 ],
- "attributes": {
- }
- },
- "$abc$20048$n2350": {
- "hide_name": 1,
- "bits": [ 693 ],
- "attributes": {
- }
- },
- "$abc$20048$n2352": {
- "hide_name": 1,
- "bits": [ 696 ],
- "attributes": {
- }
- },
- "$abc$20048$n2354": {
- "hide_name": 1,
- "bits": [ 699 ],
- "attributes": {
- }
- },
- "$abc$20048$n2356": {
- "hide_name": 1,
- "bits": [ 702 ],
- "attributes": {
- }
- },
- "$abc$20048$n2358": {
- "hide_name": 1,
- "bits": [ 705 ],
- "attributes": {
- }
- },
- "$abc$20048$n2360": {
- "hide_name": 1,
- "bits": [ 708 ],
- "attributes": {
- }
- },
- "$abc$20048$n2362": {
- "hide_name": 1,
- "bits": [ 711 ],
- "attributes": {
- }
- },
- "$abc$20048$n2364": {
- "hide_name": 1,
- "bits": [ 714 ],
- "attributes": {
- }
- },
- "$abc$20048$n2366": {
- "hide_name": 1,
- "bits": [ 717 ],
- "attributes": {
- }
- },
- "$abc$20048$n2368": {
- "hide_name": 1,
- "bits": [ 720 ],
- "attributes": {
- }
- },
- "$abc$20048$n2370": {
- "hide_name": 1,
- "bits": [ 723 ],
- "attributes": {
- }
- },
- "$abc$20048$n2372": {
- "hide_name": 1,
- "bits": [ 726 ],
- "attributes": {
- }
- },
- "$abc$20048$n2374": {
- "hide_name": 1,
- "bits": [ 729 ],
- "attributes": {
- }
- },
- "$abc$20048$n2376": {
- "hide_name": 1,
- "bits": [ 732 ],
- "attributes": {
- }
- },
- "$abc$20048$n2378": {
- "hide_name": 1,
- "bits": [ 735 ],
- "attributes": {
- }
- },
- "$abc$20048$n2380_1": {
- "hide_name": 1,
- "bits": [ 738 ],
- "attributes": {
- }
- },
- "$abc$20048$n2382": {
- "hide_name": 1,
- "bits": [ 741 ],
- "attributes": {
- }
- },
- "$abc$20048$n2384_1": {
- "hide_name": 1,
- "bits": [ 744 ],
- "attributes": {
- }
- },
- "$abc$20048$n2386": {
- "hide_name": 1,
- "bits": [ 747 ],
- "attributes": {
- }
- },
- "$abc$20048$n2388": {
- "hide_name": 1,
- "bits": [ 750 ],
- "attributes": {
- }
- },
- "$abc$20048$n2390_1": {
- "hide_name": 1,
- "bits": [ 753 ],
- "attributes": {
- }
- },
- "$abc$20048$n2392": {
- "hide_name": 1,
- "bits": [ 756 ],
- "attributes": {
- }
- },
- "$abc$20048$n2394_1": {
- "hide_name": 1,
- "bits": [ 759 ],
- "attributes": {
- }
- },
- "$abc$20048$n2396": {
- "hide_name": 1,
- "bits": [ 762 ],
- "attributes": {
- }
- },
- "$abc$20048$n2398_1": {
- "hide_name": 1,
- "bits": [ 765 ],
- "attributes": {
- }
- },
- "$abc$20048$n2400": {
- "hide_name": 1,
- "bits": [ 768 ],
- "attributes": {
- }
- },
- "$abc$20048$n2402_1": {
- "hide_name": 1,
- "bits": [ 771 ],
- "attributes": {
- }
- },
- "$abc$20048$n2404": {
- "hide_name": 1,
- "bits": [ 774 ],
- "attributes": {
- }
- },
- "$abc$20048$n2406_1": {
- "hide_name": 1,
- "bits": [ 777 ],
- "attributes": {
- }
- },
- "$abc$20048$n2408": {
- "hide_name": 1,
- "bits": [ 780 ],
- "attributes": {
- }
- },
- "$abc$20048$n2410_1": {
- "hide_name": 1,
- "bits": [ 783 ],
- "attributes": {
- }
- },
- "$abc$20048$n2412": {
- "hide_name": 1,
- "bits": [ 786 ],
- "attributes": {
- }
- },
- "$abc$20048$n2414_1": {
- "hide_name": 1,
- "bits": [ 789 ],
- "attributes": {
- }
- },
- "$abc$20048$n2416": {
- "hide_name": 1,
- "bits": [ 792 ],
- "attributes": {
- }
- },
- "$abc$20048$n2418_1": {
- "hide_name": 1,
- "bits": [ 795 ],
- "attributes": {
- }
- },
- "$abc$20048$n2420": {
- "hide_name": 1,
- "bits": [ 798 ],
- "attributes": {
- }
- },
- "$abc$20048$n2422_1": {
- "hide_name": 1,
- "bits": [ 801 ],
- "attributes": {
- }
- },
- "$abc$20048$n2424": {
- "hide_name": 1,
- "bits": [ 804 ],
- "attributes": {
- }
- },
- "$abc$20048$n2426_1": {
- "hide_name": 1,
- "bits": [ 807 ],
- "attributes": {
- }
- },
- "$abc$20048$n2428": {
- "hide_name": 1,
- "bits": [ 810 ],
- "attributes": {
- }
- },
- "$abc$20048$n2430_1": {
- "hide_name": 1,
- "bits": [ 813 ],
- "attributes": {
- }
- },
- "$abc$20048$n2432": {
- "hide_name": 1,
- "bits": [ 816 ],
- "attributes": {
- }
- },
- "$abc$20048$n2434": {
- "hide_name": 1,
- "bits": [ 819 ],
- "attributes": {
- }
- },
- "$abc$20048$n2436_1": {
- "hide_name": 1,
- "bits": [ 822 ],
- "attributes": {
- }
- },
- "$abc$20048$n2438": {
- "hide_name": 1,
- "bits": [ 825 ],
- "attributes": {
- }
- },
- "$abc$20048$n2440_1": {
- "hide_name": 1,
- "bits": [ 828 ],
- "attributes": {
- }
- },
- "$abc$20048$n2442_1": {
- "hide_name": 1,
- "bits": [ 831 ],
- "attributes": {
- }
- },
- "$abc$20048$n2444_1": {
- "hide_name": 1,
- "bits": [ 834 ],
- "attributes": {
- }
- },
- "$abc$20048$n2446_1": {
- "hide_name": 1,
- "bits": [ 837 ],
- "attributes": {
- }
- },
- "$abc$20048$n2448_1": {
- "hide_name": 1,
- "bits": [ 840 ],
- "attributes": {
- }
- },
- "$abc$20048$n2450": {
- "hide_name": 1,
- "bits": [ 843 ],
- "attributes": {
- }
- },
- "$abc$20048$n2452": {
- "hide_name": 1,
- "bits": [ 846 ],
- "attributes": {
- }
- },
- "$abc$20048$n2454": {
- "hide_name": 1,
- "bits": [ 849 ],
- "attributes": {
- }
- },
- "$abc$20048$n2456": {
- "hide_name": 1,
- "bits": [ 852 ],
- "attributes": {
- }
- },
- "$abc$20048$n2458": {
- "hide_name": 1,
- "bits": [ 855 ],
- "attributes": {
- }
- },
- "$abc$20048$n2460": {
- "hide_name": 1,
- "bits": [ 858 ],
- "attributes": {
- }
- },
- "$abc$20048$n2462": {
- "hide_name": 1,
- "bits": [ 861 ],
- "attributes": {
- }
- },
- "$abc$20048$n2464": {
- "hide_name": 1,
- "bits": [ 864 ],
- "attributes": {
- }
- },
- "$abc$20048$n2466": {
- "hide_name": 1,
- "bits": [ 867 ],
- "attributes": {
- }
- },
- "$abc$20048$n2468_1": {
- "hide_name": 1,
- "bits": [ 870 ],
- "attributes": {
- }
- },
- "$abc$20048$n2470": {
- "hide_name": 1,
- "bits": [ 873 ],
- "attributes": {
- }
- },
- "$abc$20048$n2472": {
- "hide_name": 1,
- "bits": [ 876 ],
- "attributes": {
- }
- },
- "$abc$20048$n2474_1": {
- "hide_name": 1,
- "bits": [ 879 ],
- "attributes": {
- }
- },
- "$abc$20048$n2476": {
- "hide_name": 1,
- "bits": [ 882 ],
- "attributes": {
- }
- },
- "$abc$20048$n2478": {
- "hide_name": 1,
- "bits": [ 885 ],
- "attributes": {
- }
- },
- "$abc$20048$n2480_1": {
- "hide_name": 1,
- "bits": [ 888 ],
- "attributes": {
- }
- },
- "$abc$20048$n2482": {
- "hide_name": 1,
- "bits": [ 891 ],
- "attributes": {
- }
- },
- "$abc$20048$n2484": {
- "hide_name": 1,
- "bits": [ 894 ],
- "attributes": {
- }
- },
- "$abc$20048$n2486_1": {
- "hide_name": 1,
- "bits": [ 897 ],
- "attributes": {
- }
- },
- "$abc$20048$n2488": {
- "hide_name": 1,
- "bits": [ 900 ],
- "attributes": {
- }
- },
- "$abc$20048$n2490": {
- "hide_name": 1,
- "bits": [ 903 ],
- "attributes": {
- }
- },
- "$abc$20048$n2492_1": {
- "hide_name": 1,
- "bits": [ 906 ],
- "attributes": {
- }
- },
- "$abc$20048$n2494": {
- "hide_name": 1,
- "bits": [ 909 ],
- "attributes": {
- }
- },
- "$abc$20048$n2496": {
- "hide_name": 1,
- "bits": [ 912 ],
- "attributes": {
- }
- },
- "$abc$20048$n2498": {
- "hide_name": 1,
- "bits": [ 915 ],
- "attributes": {
- }
- },
- "$abc$20048$n2500_1": {
- "hide_name": 1,
- "bits": [ 918 ],
- "attributes": {
- }
- },
- "$abc$20048$n2502": {
- "hide_name": 1,
- "bits": [ 921 ],
- "attributes": {
- }
- },
- "$abc$20048$n2504": {
- "hide_name": 1,
- "bits": [ 924 ],
- "attributes": {
- }
- },
- "$abc$20048$n2506": {
- "hide_name": 1,
- "bits": [ 927 ],
- "attributes": {
- }
- },
- "$abc$20048$n2508_1": {
- "hide_name": 1,
- "bits": [ 930 ],
- "attributes": {
- }
- },
- "$abc$20048$n2510": {
- "hide_name": 1,
- "bits": [ 933 ],
- "attributes": {
- }
- },
- "$abc$20048$n2512_1": {
- "hide_name": 1,
- "bits": [ 936 ],
- "attributes": {
- }
- },
- "$abc$20048$n2514": {
- "hide_name": 1,
- "bits": [ 939 ],
- "attributes": {
- }
- },
- "$abc$20048$n2516": {
- "hide_name": 1,
- "bits": [ 942 ],
- "attributes": {
- }
- },
- "$abc$20048$n2518": {
- "hide_name": 1,
- "bits": [ 945 ],
- "attributes": {
- }
- },
- "$abc$20048$n2520_1": {
- "hide_name": 1,
- "bits": [ 948 ],
- "attributes": {
- }
- },
- "$abc$20048$n2522": {
- "hide_name": 1,
- "bits": [ 951 ],
- "attributes": {
- }
- },
- "$abc$20048$n2524": {
- "hide_name": 1,
- "bits": [ 954 ],
- "attributes": {
- }
- },
- "$abc$20048$n2526": {
- "hide_name": 1,
- "bits": [ 957 ],
- "attributes": {
- }
- },
- "$abc$20048$n2528": {
- "hide_name": 1,
- "bits": [ 960 ],
- "attributes": {
- }
- },
- "$abc$20048$n2530": {
- "hide_name": 1,
- "bits": [ 963 ],
- "attributes": {
- }
- },
- "$abc$20048$n2532": {
- "hide_name": 1,
- "bits": [ 966 ],
- "attributes": {
- }
- },
- "$abc$20048$n2534": {
- "hide_name": 1,
- "bits": [ 969 ],
- "attributes": {
- }
- },
- "$abc$20048$n2536_1": {
- "hide_name": 1,
- "bits": [ 972 ],
- "attributes": {
- }
- },
- "$abc$20048$n2538_1": {
- "hide_name": 1,
- "bits": [ 975 ],
- "attributes": {
- }
- },
- "$abc$20048$n2540": {
- "hide_name": 1,
- "bits": [ 978 ],
- "attributes": {
- }
- },
- "$abc$20048$n2542_1": {
- "hide_name": 1,
- "bits": [ 981 ],
- "attributes": {
- }
- },
- "$abc$20048$n2544": {
- "hide_name": 1,
- "bits": [ 984 ],
- "attributes": {
- }
- },
- "$abc$20048$n2546": {
- "hide_name": 1,
- "bits": [ 987 ],
- "attributes": {
- }
- },
- "$abc$20048$n2548": {
- "hide_name": 1,
- "bits": [ 990 ],
- "attributes": {
- }
- },
- "$abc$20048$n2550": {
- "hide_name": 1,
- "bits": [ 993 ],
- "attributes": {
- }
- },
- "$abc$20048$n2552_1": {
- "hide_name": 1,
- "bits": [ 996 ],
- "attributes": {
- }
- },
- "$abc$20048$n2554_1": {
- "hide_name": 1,
- "bits": [ 999 ],
- "attributes": {
- }
- },
- "$abc$20048$n2556": {
- "hide_name": 1,
- "bits": [ 1002 ],
- "attributes": {
- }
- },
- "$abc$20048$n2558_1": {
- "hide_name": 1,
- "bits": [ 1005 ],
- "attributes": {
- }
- },
- "$abc$20048$n2560": {
- "hide_name": 1,
- "bits": [ 1008 ],
- "attributes": {
- }
- },
- "$abc$20048$n2562_1": {
- "hide_name": 1,
- "bits": [ 1011 ],
- "attributes": {
- }
- },
- "$abc$20048$n2564": {
- "hide_name": 1,
- "bits": [ 1014 ],
- "attributes": {
- }
- },
- "$abc$20048$n2566": {
- "hide_name": 1,
- "bits": [ 1017 ],
- "attributes": {
- }
- },
- "$abc$20048$n2568": {
- "hide_name": 1,
- "bits": [ 1020 ],
- "attributes": {
- }
- },
- "$abc$20048$n2570": {
- "hide_name": 1,
- "bits": [ 1023 ],
- "attributes": {
- }
- },
- "$abc$20048$n2572": {
- "hide_name": 1,
- "bits": [ 1026 ],
- "attributes": {
- }
- },
- "$abc$20048$n2574": {
- "hide_name": 1,
- "bits": [ 1029 ],
- "attributes": {
- }
- },
- "$abc$20048$n2576": {
- "hide_name": 1,
- "bits": [ 1032 ],
- "attributes": {
- }
- },
- "$abc$20048$n2578": {
- "hide_name": 1,
- "bits": [ 1035 ],
- "attributes": {
- }
- },
- "$abc$20048$n2580": {
- "hide_name": 1,
- "bits": [ 1038 ],
- "attributes": {
- }
- },
- "$abc$20048$n2582": {
- "hide_name": 1,
- "bits": [ 1041 ],
- "attributes": {
- }
- },
- "$abc$20048$n2584": {
- "hide_name": 1,
- "bits": [ 1044 ],
- "attributes": {
- }
- },
- "$abc$20048$n2586": {
- "hide_name": 1,
- "bits": [ 1047 ],
- "attributes": {
- }
- },
- "$abc$20048$n2588": {
- "hide_name": 1,
- "bits": [ 1050 ],
- "attributes": {
- }
- },
- "$abc$20048$n2590": {
- "hide_name": 1,
- "bits": [ 1053 ],
- "attributes": {
- }
- },
- "$abc$20048$n2592": {
- "hide_name": 1,
- "bits": [ 1056 ],
- "attributes": {
- }
- },
- "$abc$20048$n2594_1": {
- "hide_name": 1,
- "bits": [ 1059 ],
- "attributes": {
- }
- },
- "$abc$20048$n2596": {
- "hide_name": 1,
- "bits": [ 1062 ],
- "attributes": {
- }
- },
- "$abc$20048$n2598": {
- "hide_name": 1,
- "bits": [ 1065 ],
- "attributes": {
- }
- },
- "$abc$20048$n2600": {
- "hide_name": 1,
- "bits": [ 1068 ],
- "attributes": {
- }
- },
- "$abc$20048$n2602": {
- "hide_name": 1,
- "bits": [ 1071 ],
- "attributes": {
- }
- },
- "$abc$20048$n2604": {
- "hide_name": 1,
- "bits": [ 1074 ],
- "attributes": {
- }
- },
- "$abc$20048$n2606": {
- "hide_name": 1,
- "bits": [ 1077 ],
- "attributes": {
- }
- },
- "$abc$20048$n2608": {
- "hide_name": 1,
- "bits": [ 1080 ],
- "attributes": {
- }
- },
- "$abc$20048$n2610": {
- "hide_name": 1,
- "bits": [ 1083 ],
- "attributes": {
- }
- },
- "$abc$20048$n2612": {
- "hide_name": 1,
- "bits": [ 1086 ],
- "attributes": {
- }
- },
- "$abc$20048$n2614": {
- "hide_name": 1,
- "bits": [ 1089 ],
- "attributes": {
- }
- },
- "$abc$20048$n2616": {
- "hide_name": 1,
- "bits": [ 1092 ],
- "attributes": {
- }
- },
- "$abc$20048$n2618": {
- "hide_name": 1,
- "bits": [ 1095 ],
- "attributes": {
- }
- },
- "$abc$20048$n2620": {
- "hide_name": 1,
- "bits": [ 1098 ],
- "attributes": {
- }
- },
- "$abc$20048$n2622": {
- "hide_name": 1,
- "bits": [ 1101 ],
- "attributes": {
- }
- },
- "$abc$20048$n2624": {
- "hide_name": 1,
- "bits": [ 1104 ],
- "attributes": {
- }
- },
- "$abc$20048$n2626": {
- "hide_name": 1,
- "bits": [ 1107 ],
- "attributes": {
- }
- },
- "$abc$20048$n2628": {
- "hide_name": 1,
- "bits": [ 1110 ],
- "attributes": {
- }
- },
- "$abc$20048$n2630_1": {
- "hide_name": 1,
- "bits": [ 1113 ],
- "attributes": {
- }
- },
- "$abc$20048$n2632": {
- "hide_name": 1,
- "bits": [ 1116 ],
- "attributes": {
- }
- },
- "$abc$20048$n2634": {
- "hide_name": 1,
- "bits": [ 1119 ],
- "attributes": {
- }
- },
- "$abc$20048$n2636_1": {
- "hide_name": 1,
- "bits": [ 1122 ],
- "attributes": {
- }
- },
- "$abc$20048$n2638": {
- "hide_name": 1,
- "bits": [ 1125 ],
- "attributes": {
- }
- },
- "$abc$20048$n2640": {
- "hide_name": 1,
- "bits": [ 1128 ],
- "attributes": {
- }
- },
- "$abc$20048$n2642": {
- "hide_name": 1,
- "bits": [ 1131 ],
- "attributes": {
- }
- },
- "$abc$20048$n2644": {
- "hide_name": 1,
- "bits": [ 1134 ],
- "attributes": {
- }
- },
- "$abc$20048$n2646": {
- "hide_name": 1,
- "bits": [ 1137 ],
- "attributes": {
- }
- },
- "$abc$20048$n2648": {
- "hide_name": 1,
- "bits": [ 1140 ],
- "attributes": {
- }
- },
- "$abc$20048$n2650": {
- "hide_name": 1,
- "bits": [ 1143 ],
- "attributes": {
- }
- },
- "$abc$20048$n2652": {
- "hide_name": 1,
- "bits": [ 1146 ],
- "attributes": {
- }
- },
- "$abc$20048$n2654": {
- "hide_name": 1,
- "bits": [ 1149 ],
- "attributes": {
- }
- },
- "$abc$20048$n2656": {
- "hide_name": 1,
- "bits": [ 1152 ],
- "attributes": {
- }
- },
- "$abc$20048$n2658_1": {
- "hide_name": 1,
- "bits": [ 1155 ],
- "attributes": {
- }
- },
- "$abc$20048$n2660": {
- "hide_name": 1,
- "bits": [ 1158 ],
- "attributes": {
- }
- },
- "$abc$20048$n2662": {
- "hide_name": 1,
- "bits": [ 1161 ],
- "attributes": {
- }
- },
- "$abc$20048$n2664": {
- "hide_name": 1,
- "bits": [ 1164 ],
- "attributes": {
- }
- },
- "$abc$20048$n2666": {
- "hide_name": 1,
- "bits": [ 1167 ],
- "attributes": {
- }
- },
- "$abc$20048$n2668": {
- "hide_name": 1,
- "bits": [ 1170 ],
- "attributes": {
- }
- },
- "$abc$20048$n2670": {
- "hide_name": 1,
- "bits": [ 1173 ],
- "attributes": {
- }
- },
- "$abc$20048$n2672": {
- "hide_name": 1,
- "bits": [ 1176 ],
- "attributes": {
- }
- },
- "$abc$20048$n2674_1": {
- "hide_name": 1,
- "bits": [ 1179 ],
- "attributes": {
- }
- },
- "$abc$20048$n2692": {
- "hide_name": 1,
- "bits": [ 1199 ],
- "attributes": {
- }
- },
- "$abc$20048$n2693_1": {
- "hide_name": 1,
- "bits": [ 1200 ],
- "attributes": {
- }
- },
- "$abc$20048$n2694": {
- "hide_name": 1,
- "bits": [ 1202 ],
- "attributes": {
- }
- },
- "$abc$20048$n2696_1": {
- "hide_name": 1,
- "bits": [ 1205 ],
- "attributes": {
- }
- },
- "$abc$20048$n2698": {
- "hide_name": 1,
- "bits": [ 1208 ],
- "attributes": {
- }
- },
- "$abc$20048$n2700": {
- "hide_name": 1,
- "bits": [ 1211 ],
- "attributes": {
- }
- },
- "$abc$20048$n2702_1": {
- "hide_name": 1,
- "bits": [ 1214 ],
- "attributes": {
- }
- },
- "$abc$20048$n2704": {
- "hide_name": 1,
- "bits": [ 1217 ],
- "attributes": {
- }
- },
- "$abc$20048$n2706": {
- "hide_name": 1,
- "bits": [ 1220 ],
- "attributes": {
- }
- },
- "$abc$20048$n2708": {
- "hide_name": 1,
- "bits": [ 1223 ],
- "attributes": {
- }
- },
- "$abc$20048$n2710": {
- "hide_name": 1,
- "bits": [ 1226 ],
- "attributes": {
- }
- },
- "$abc$20048$n2712": {
- "hide_name": 1,
- "bits": [ 1229 ],
- "attributes": {
- }
- },
- "$abc$20048$n2714": {
- "hide_name": 1,
- "bits": [ 1232 ],
- "attributes": {
- }
- },
- "$abc$20048$n2716_1": {
- "hide_name": 1,
- "bits": [ 1235 ],
- "attributes": {
- }
- },
- "$abc$20048$n2718_1": {
- "hide_name": 1,
- "bits": [ 1238 ],
- "attributes": {
- }
- },
- "$abc$20048$n2720": {
- "hide_name": 1,
- "bits": [ 1241 ],
- "attributes": {
- }
- },
- "$abc$20048$n2722": {
- "hide_name": 1,
- "bits": [ 1244 ],
- "attributes": {
- }
- },
- "$abc$20048$n2724": {
- "hide_name": 1,
- "bits": [ 1247 ],
- "attributes": {
- }
- },
- "$abc$20048$n2726": {
- "hide_name": 1,
- "bits": [ 1251 ],
- "attributes": {
- }
- },
- "$abc$20048$n2727": {
- "hide_name": 1,
- "bits": [ 1249 ],
- "attributes": {
- }
- },
- "$abc$20048$n2728": {
- "hide_name": 1,
- "bits": [ 1256 ],
- "attributes": {
- }
- },
- "$abc$20048$n2730": {
- "hide_name": 1,
- "bits": [ 1258 ],
- "attributes": {
- }
- },
- "$abc$20048$n2732_1": {
- "hide_name": 1,
- "bits": [ 1263 ],
- "attributes": {
- }
- },
- "$abc$20048$n2734": {
- "hide_name": 1,
- "bits": [ 1268 ],
- "attributes": {
- }
- },
- "$abc$20048$n2736_1": {
- "hide_name": 1,
- "bits": [ 1273 ],
- "attributes": {
- }
- },
- "$abc$20048$n2738": {
- "hide_name": 1,
- "bits": [ 1278 ],
- "attributes": {
- }
- },
- "$abc$20048$n2740": {
- "hide_name": 1,
- "bits": [ 1283 ],
- "attributes": {
- }
- },
- "$abc$20048$n2742": {
- "hide_name": 1,
- "bits": [ 1288 ],
- "attributes": {
- }
- },
- "$abc$20048$n2744": {
- "hide_name": 1,
- "bits": [ 1293 ],
- "attributes": {
- }
- },
- "$abc$20048$n2746": {
- "hide_name": 1,
- "bits": [ 1298 ],
- "attributes": {
- }
- },
- "$abc$20048$n2748": {
- "hide_name": 1,
- "bits": [ 1303 ],
- "attributes": {
- }
- },
- "$abc$20048$n2750": {
- "hide_name": 1,
- "bits": [ 1308 ],
- "attributes": {
- }
- },
- "$abc$20048$n2752": {
- "hide_name": 1,
- "bits": [ 1313 ],
- "attributes": {
- }
- },
- "$abc$20048$n2754": {
- "hide_name": 1,
- "bits": [ 1318 ],
- "attributes": {
- }
- },
- "$abc$20048$n2756": {
- "hide_name": 1,
- "bits": [ 1323 ],
- "attributes": {
- }
- },
- "$abc$20048$n2758": {
- "hide_name": 1,
- "bits": [ 1328 ],
- "attributes": {
- }
- },
- "$abc$20048$n2760": {
- "hide_name": 1,
- "bits": [ 1333 ],
- "attributes": {
- }
- },
- "$abc$20048$n2762": {
- "hide_name": 1,
- "bits": [ 1336 ],
- "attributes": {
- }
- },
- "$abc$20048$n2764": {
- "hide_name": 1,
- "bits": [ 1339 ],
- "attributes": {
- }
- },
- "$abc$20048$n2766": {
- "hide_name": 1,
- "bits": [ 1342 ],
- "attributes": {
- }
- },
- "$abc$20048$n2768": {
- "hide_name": 1,
- "bits": [ 1345 ],
- "attributes": {
- }
- },
- "$abc$20048$n2770": {
- "hide_name": 1,
- "bits": [ 1348 ],
- "attributes": {
- }
- },
- "$abc$20048$n2772": {
- "hide_name": 1,
- "bits": [ 1351 ],
- "attributes": {
- }
- },
- "$abc$20048$n2774": {
- "hide_name": 1,
- "bits": [ 1354 ],
- "attributes": {
- }
- },
- "$abc$20048$n2776": {
- "hide_name": 1,
- "bits": [ 1357 ],
- "attributes": {
- }
- },
- "$abc$20048$n2778": {
- "hide_name": 1,
- "bits": [ 1360 ],
- "attributes": {
- }
- },
- "$abc$20048$n2780": {
- "hide_name": 1,
- "bits": [ 1363 ],
- "attributes": {
- }
- },
- "$abc$20048$n2782": {
- "hide_name": 1,
- "bits": [ 1366 ],
- "attributes": {
- }
- },
- "$abc$20048$n2784": {
- "hide_name": 1,
- "bits": [ 1369 ],
- "attributes": {
- }
- },
- "$abc$20048$n2786": {
- "hide_name": 1,
- "bits": [ 1372 ],
- "attributes": {
- }
- },
- "$abc$20048$n2788": {
- "hide_name": 1,
- "bits": [ 1375 ],
- "attributes": {
- }
- },
- "$abc$20048$n2790": {
- "hide_name": 1,
- "bits": [ 1378 ],
- "attributes": {
- }
- },
- "$abc$20048$n2792": {
- "hide_name": 1,
- "bits": [ 1381 ],
- "attributes": {
- }
- },
- "$abc$20048$n2794": {
- "hide_name": 1,
- "bits": [ 1384 ],
- "attributes": {
- }
- },
- "$abc$20048$n2796": {
- "hide_name": 1,
- "bits": [ 1387 ],
- "attributes": {
- }
- },
- "$abc$20048$n2798": {
- "hide_name": 1,
- "bits": [ 1390 ],
- "attributes": {
- }
- },
- "$abc$20048$n2800_1": {
- "hide_name": 1,
- "bits": [ 1393 ],
- "attributes": {
- }
- },
- "$abc$20048$n2802": {
- "hide_name": 1,
- "bits": [ 1396 ],
- "attributes": {
- }
- },
- "$abc$20048$n2804": {
- "hide_name": 1,
- "bits": [ 1399 ],
- "attributes": {
- }
- },
- "$abc$20048$n2806": {
- "hide_name": 1,
- "bits": [ 1402 ],
- "attributes": {
- }
- },
- "$abc$20048$n2808": {
- "hide_name": 1,
- "bits": [ 1405 ],
- "attributes": {
- }
- },
- "$abc$20048$n2810": {
- "hide_name": 1,
- "bits": [ 1408 ],
- "attributes": {
- }
- },
- "$abc$20048$n2812_1": {
- "hide_name": 1,
- "bits": [ 1411 ],
- "attributes": {
- }
- },
- "$abc$20048$n2814": {
- "hide_name": 1,
- "bits": [ 1414 ],
- "attributes": {
- }
- },
- "$abc$20048$n2816": {
- "hide_name": 1,
- "bits": [ 1417 ],
- "attributes": {
- }
- },
- "$abc$20048$n2818": {
- "hide_name": 1,
- "bits": [ 1420 ],
- "attributes": {
- }
- },
- "$abc$20048$n2820": {
- "hide_name": 1,
- "bits": [ 1423 ],
- "attributes": {
- }
- },
- "$abc$20048$n2822": {
- "hide_name": 1,
- "bits": [ 1426 ],
- "attributes": {
- }
- },
- "$abc$20048$n2824": {
- "hide_name": 1,
- "bits": [ 1429 ],
- "attributes": {
- }
- },
- "$abc$20048$n2826": {
- "hide_name": 1,
- "bits": [ 1432 ],
- "attributes": {
- }
- },
- "$abc$20048$n2828": {
- "hide_name": 1,
- "bits": [ 1435 ],
- "attributes": {
- }
- },
- "$abc$20048$n2830": {
- "hide_name": 1,
- "bits": [ 1438 ],
- "attributes": {
- }
- },
- "$abc$20048$n2832": {
- "hide_name": 1,
- "bits": [ 1441 ],
- "attributes": {
- }
- },
- "$abc$20048$n2834": {
- "hide_name": 1,
- "bits": [ 1444 ],
- "attributes": {
- }
- },
- "$abc$20048$n2836": {
- "hide_name": 1,
- "bits": [ 1447 ],
- "attributes": {
- }
- },
- "$abc$20048$n2838": {
- "hide_name": 1,
- "bits": [ 1450 ],
- "attributes": {
- }
- },
- "$abc$20048$n2840": {
- "hide_name": 1,
- "bits": [ 1453 ],
- "attributes": {
- }
- },
- "$abc$20048$n2842_1": {
- "hide_name": 1,
- "bits": [ 1456 ],
- "attributes": {
- }
- },
- "$abc$20048$n2844": {
- "hide_name": 1,
- "bits": [ 1459 ],
- "attributes": {
- }
- },
- "$abc$20048$n2846": {
- "hide_name": 1,
- "bits": [ 1462 ],
- "attributes": {
- }
- },
- "$abc$20048$n2848": {
- "hide_name": 1,
- "bits": [ 1465 ],
- "attributes": {
- }
- },
- "$abc$20048$n2850": {
- "hide_name": 1,
- "bits": [ 1468 ],
- "attributes": {
- }
- },
- "$abc$20048$n2852": {
- "hide_name": 1,
- "bits": [ 1471 ],
- "attributes": {
- }
- },
- "$abc$20048$n2854": {
- "hide_name": 1,
- "bits": [ 1474 ],
- "attributes": {
- }
- },
- "$abc$20048$n2856": {
- "hide_name": 1,
- "bits": [ 1477 ],
- "attributes": {
- }
- },
- "$abc$20048$n2858_1": {
- "hide_name": 1,
- "bits": [ 1480 ],
- "attributes": {
- }
- },
- "$abc$20048$n2860": {
- "hide_name": 1,
- "bits": [ 1483 ],
- "attributes": {
- }
- },
- "$abc$20048$n2862": {
- "hide_name": 1,
- "bits": [ 1486 ],
- "attributes": {
- }
- },
- "$abc$20048$n2864": {
- "hide_name": 1,
- "bits": [ 1489 ],
- "attributes": {
- }
- },
- "$abc$20048$n2866": {
- "hide_name": 1,
- "bits": [ 1492 ],
- "attributes": {
- }
- },
- "$abc$20048$n2868_1": {
- "hide_name": 1,
- "bits": [ 1495 ],
- "attributes": {
- }
- },
- "$abc$20048$n2870": {
- "hide_name": 1,
- "bits": [ 1498 ],
- "attributes": {
- }
- },
- "$abc$20048$n2872": {
- "hide_name": 1,
- "bits": [ 1501 ],
- "attributes": {
- }
- },
- "$abc$20048$n2874": {
- "hide_name": 1,
- "bits": [ 1504 ],
- "attributes": {
- }
- },
- "$abc$20048$n2876": {
- "hide_name": 1,
- "bits": [ 1507 ],
- "attributes": {
- }
- },
- "$abc$20048$n2878": {
- "hide_name": 1,
- "bits": [ 1510 ],
- "attributes": {
- }
- },
- "$abc$20048$n2880": {
- "hide_name": 1,
- "bits": [ 1513 ],
- "attributes": {
- }
- },
- "$abc$20048$n2882": {
- "hide_name": 1,
- "bits": [ 1516 ],
- "attributes": {
- }
- },
- "$abc$20048$n2884_1": {
- "hide_name": 1,
- "bits": [ 1519 ],
- "attributes": {
- }
- },
- "$abc$20048$n2886": {
- "hide_name": 1,
- "bits": [ 1522 ],
- "attributes": {
- }
- },
- "$abc$20048$n2888": {
- "hide_name": 1,
- "bits": [ 1525 ],
- "attributes": {
- }
- },
- "$abc$20048$n2890_1": {
- "hide_name": 1,
- "bits": [ 1528 ],
- "attributes": {
- }
- },
- "$abc$20048$n2892": {
- "hide_name": 1,
- "bits": [ 1531 ],
- "attributes": {
- }
- },
- "$abc$20048$n2894": {
- "hide_name": 1,
- "bits": [ 1534 ],
- "attributes": {
- }
- },
- "$abc$20048$n2896": {
- "hide_name": 1,
- "bits": [ 1537 ],
- "attributes": {
- }
- },
- "$abc$20048$n2898": {
- "hide_name": 1,
- "bits": [ 1540 ],
- "attributes": {
- }
- },
- "$abc$20048$n2900": {
- "hide_name": 1,
- "bits": [ 1543 ],
- "attributes": {
- }
- },
- "$abc$20048$n2902": {
- "hide_name": 1,
- "bits": [ 1546 ],
- "attributes": {
- }
- },
- "$abc$20048$n2904": {
- "hide_name": 1,
- "bits": [ 1549 ],
- "attributes": {
- }
- },
- "$abc$20048$n2906_1": {
- "hide_name": 1,
- "bits": [ 1552 ],
- "attributes": {
- }
- },
- "$abc$20048$n2908": {
- "hide_name": 1,
- "bits": [ 1555 ],
- "attributes": {
- }
- },
- "$abc$20048$n2910": {
- "hide_name": 1,
- "bits": [ 1558 ],
- "attributes": {
- }
- },
- "$abc$20048$n2912": {
- "hide_name": 1,
- "bits": [ 1561 ],
- "attributes": {
- }
- },
- "$abc$20048$n2914": {
- "hide_name": 1,
- "bits": [ 1564 ],
- "attributes": {
- }
- },
- "$abc$20048$n2916_1": {
- "hide_name": 1,
- "bits": [ 1567 ],
- "attributes": {
- }
- },
- "$abc$20048$n2918": {
- "hide_name": 1,
- "bits": [ 1570 ],
- "attributes": {
- }
- },
- "$abc$20048$n2920": {
- "hide_name": 1,
- "bits": [ 1573 ],
- "attributes": {
- }
- },
- "$abc$20048$n2922": {
- "hide_name": 1,
- "bits": [ 1576 ],
- "attributes": {
- }
- },
- "$abc$20048$n2924_1": {
- "hide_name": 1,
- "bits": [ 1579 ],
- "attributes": {
- }
- },
- "$abc$20048$n2926": {
- "hide_name": 1,
- "bits": [ 1582 ],
- "attributes": {
- }
- },
- "$abc$20048$n2928": {
- "hide_name": 1,
- "bits": [ 1585 ],
- "attributes": {
- }
- },
- "$abc$20048$n2930_1": {
- "hide_name": 1,
- "bits": [ 1588 ],
- "attributes": {
- }
- },
- "$abc$20048$n2932_1": {
- "hide_name": 1,
- "bits": [ 1591 ],
- "attributes": {
- }
- },
- "$abc$20048$n2934": {
- "hide_name": 1,
- "bits": [ 1594 ],
- "attributes": {
- }
- },
- "$abc$20048$n2936": {
- "hide_name": 1,
- "bits": [ 1597 ],
- "attributes": {
- }
- },
- "$abc$20048$n2938": {
- "hide_name": 1,
- "bits": [ 1600 ],
- "attributes": {
- }
- },
- "$abc$20048$n2940": {
- "hide_name": 1,
- "bits": [ 1603 ],
- "attributes": {
- }
- },
- "$abc$20048$n2942_1": {
- "hide_name": 1,
- "bits": [ 1606 ],
- "attributes": {
- }
- },
- "$abc$20048$n2944_1": {
- "hide_name": 1,
- "bits": [ 1609 ],
- "attributes": {
- }
- },
- "$abc$20048$n2946_1": {
- "hide_name": 1,
- "bits": [ 1612 ],
- "attributes": {
- }
- },
- "$abc$20048$n2948": {
- "hide_name": 1,
- "bits": [ 1615 ],
- "attributes": {
- }
- },
- "$abc$20048$n2950": {
- "hide_name": 1,
- "bits": [ 1618 ],
- "attributes": {
- }
- },
- "$abc$20048$n2952": {
- "hide_name": 1,
- "bits": [ 1621 ],
- "attributes": {
- }
- },
- "$abc$20048$n2954_1": {
- "hide_name": 1,
- "bits": [ 1624 ],
- "attributes": {
- }
- },
- "$abc$20048$n2956_1": {
- "hide_name": 1,
- "bits": [ 1627 ],
- "attributes": {
- }
- },
- "$abc$20048$n2958": {
- "hide_name": 1,
- "bits": [ 1630 ],
- "attributes": {
- }
- },
- "$abc$20048$n2960": {
- "hide_name": 1,
- "bits": [ 1633 ],
- "attributes": {
- }
- },
- "$abc$20048$n2962": {
- "hide_name": 1,
- "bits": [ 1636 ],
- "attributes": {
- }
- },
- "$abc$20048$n2964": {
- "hide_name": 1,
- "bits": [ 1639 ],
- "attributes": {
- }
- },
- "$abc$20048$n2966_1": {
- "hide_name": 1,
- "bits": [ 1642 ],
- "attributes": {
- }
- },
- "$abc$20048$n2968_1": {
- "hide_name": 1,
- "bits": [ 1645 ],
- "attributes": {
- }
- },
- "$abc$20048$n2970": {
- "hide_name": 1,
- "bits": [ 1648 ],
- "attributes": {
- }
- },
- "$abc$20048$n2972": {
- "hide_name": 1,
- "bits": [ 1651 ],
- "attributes": {
- }
- },
- "$abc$20048$n2974": {
- "hide_name": 1,
- "bits": [ 1654 ],
- "attributes": {
- }
- },
- "$abc$20048$n2976": {
- "hide_name": 1,
- "bits": [ 1657 ],
- "attributes": {
- }
- },
- "$abc$20048$n2978": {
- "hide_name": 1,
- "bits": [ 1660 ],
- "attributes": {
- }
- },
- "$abc$20048$n2980_1": {
- "hide_name": 1,
- "bits": [ 1663 ],
- "attributes": {
- }
- },
- "$abc$20048$n2982": {
- "hide_name": 1,
- "bits": [ 1666 ],
- "attributes": {
- }
- },
- "$abc$20048$n2984_1": {
- "hide_name": 1,
- "bits": [ 1669 ],
- "attributes": {
- }
- },
- "$abc$20048$n2986": {
- "hide_name": 1,
- "bits": [ 1672 ],
- "attributes": {
- }
- },
- "$abc$20048$n2988": {
- "hide_name": 1,
- "bits": [ 1675 ],
- "attributes": {
- }
- },
- "$abc$20048$n2990_1": {
- "hide_name": 1,
- "bits": [ 1678 ],
- "attributes": {
- }
- },
- "$abc$20048$n2992_1": {
- "hide_name": 1,
- "bits": [ 1681 ],
- "attributes": {
- }
- },
- "$abc$20048$n2994": {
- "hide_name": 1,
- "bits": [ 1684 ],
- "attributes": {
- }
- },
- "$abc$20048$n2996": {
- "hide_name": 1,
- "bits": [ 1687 ],
- "attributes": {
- }
- },
- "$abc$20048$n2998": {
- "hide_name": 1,
- "bits": [ 1690 ],
- "attributes": {
- }
- },
- "$abc$20048$n3000": {
- "hide_name": 1,
- "bits": [ 1693 ],
- "attributes": {
- }
- },
- "$abc$20048$n3002": {
- "hide_name": 1,
- "bits": [ 1696 ],
- "attributes": {
- }
- },
- "$abc$20048$n3004_1": {
- "hide_name": 1,
- "bits": [ 1699 ],
- "attributes": {
- }
- },
- "$abc$20048$n3006": {
- "hide_name": 1,
- "bits": [ 1702 ],
- "attributes": {
- }
- },
- "$abc$20048$n3008_1": {
- "hide_name": 1,
- "bits": [ 1705 ],
- "attributes": {
- }
- },
- "$abc$20048$n3010": {
- "hide_name": 1,
- "bits": [ 1708 ],
- "attributes": {
- }
- },
- "$abc$20048$n3012": {
- "hide_name": 1,
- "bits": [ 1711 ],
- "attributes": {
- }
- },
- "$abc$20048$n3014": {
- "hide_name": 1,
- "bits": [ 1714 ],
- "attributes": {
- }
- },
- "$abc$20048$n3016_1": {
- "hide_name": 1,
- "bits": [ 1717 ],
- "attributes": {
- }
- },
- "$abc$20048$n3018": {
- "hide_name": 1,
- "bits": [ 1720 ],
- "attributes": {
- }
- },
- "$abc$20048$n3020": {
- "hide_name": 1,
- "bits": [ 1723 ],
- "attributes": {
- }
- },
- "$abc$20048$n3022": {
- "hide_name": 1,
- "bits": [ 1726 ],
- "attributes": {
- }
- },
- "$abc$20048$n3024": {
- "hide_name": 1,
- "bits": [ 1729 ],
- "attributes": {
- }
- },
- "$abc$20048$n3026_1": {
- "hide_name": 1,
- "bits": [ 1732 ],
- "attributes": {
- }
- },
- "$abc$20048$n3028": {
- "hide_name": 1,
- "bits": [ 1735 ],
- "attributes": {
- }
- },
- "$abc$20048$n3030_1": {
- "hide_name": 1,
- "bits": [ 1738 ],
- "attributes": {
- }
- },
- "$abc$20048$n3032": {
- "hide_name": 1,
- "bits": [ 1741 ],
- "attributes": {
- }
- },
- "$abc$20048$n3034_1": {
- "hide_name": 1,
- "bits": [ 1744 ],
- "attributes": {
- }
- },
- "$abc$20048$n3036": {
- "hide_name": 1,
- "bits": [ 1747 ],
- "attributes": {
- }
- },
- "$abc$20048$n3038_1": {
- "hide_name": 1,
- "bits": [ 1750 ],
- "attributes": {
- }
- },
- "$abc$20048$n3040": {
- "hide_name": 1,
- "bits": [ 1753 ],
- "attributes": {
- }
- },
- "$abc$20048$n3042": {
- "hide_name": 1,
- "bits": [ 1756 ],
- "attributes": {
- }
- },
- "$abc$20048$n3044": {
- "hide_name": 1,
- "bits": [ 1759 ],
- "attributes": {
- }
- },
- "$abc$20048$n3046": {
- "hide_name": 1,
- "bits": [ 1762 ],
- "attributes": {
- }
- },
- "$abc$20048$n3048_1": {
- "hide_name": 1,
- "bits": [ 1765 ],
- "attributes": {
- }
- },
- "$abc$20048$n3050_1": {
- "hide_name": 1,
- "bits": [ 1768 ],
- "attributes": {
- }
- },
- "$abc$20048$n3052": {
- "hide_name": 1,
- "bits": [ 1771 ],
- "attributes": {
- }
- },
- "$abc$20048$n3054": {
- "hide_name": 1,
- "bits": [ 1774 ],
- "attributes": {
- }
- },
- "$abc$20048$n3056": {
- "hide_name": 1,
- "bits": [ 1777 ],
- "attributes": {
- }
- },
- "$abc$20048$n3058_1": {
- "hide_name": 1,
- "bits": [ 1780 ],
- "attributes": {
- }
- },
- "$abc$20048$n3060": {
- "hide_name": 1,
- "bits": [ 1783 ],
- "attributes": {
- }
- },
- "$abc$20048$n3062": {
- "hide_name": 1,
- "bits": [ 1786 ],
- "attributes": {
- }
- },
- "$abc$20048$n3064": {
- "hide_name": 1,
- "bits": [ 1789 ],
- "attributes": {
- }
- },
- "$abc$20048$n3066_1": {
- "hide_name": 1,
- "bits": [ 1792 ],
- "attributes": {
- }
- },
- "$abc$20048$n3068": {
- "hide_name": 1,
- "bits": [ 1795 ],
- "attributes": {
- }
- },
- "$abc$20048$n3070": {
- "hide_name": 1,
- "bits": [ 1798 ],
- "attributes": {
- }
- },
- "$abc$20048$n3072_1": {
- "hide_name": 1,
- "bits": [ 1801 ],
- "attributes": {
- }
- },
- "$abc$20048$n3074": {
- "hide_name": 1,
- "bits": [ 1804 ],
- "attributes": {
- }
- },
- "$abc$20048$n3076_1": {
- "hide_name": 1,
- "bits": [ 1807 ],
- "attributes": {
- }
- },
- "$abc$20048$n3078": {
- "hide_name": 1,
- "bits": [ 1810 ],
- "attributes": {
- }
- },
- "$abc$20048$n3080_1": {
- "hide_name": 1,
- "bits": [ 1813 ],
- "attributes": {
- }
- },
- "$abc$20048$n3082_1": {
- "hide_name": 1,
- "bits": [ 1816 ],
- "attributes": {
- }
- },
- "$abc$20048$n3084_1": {
- "hide_name": 1,
- "bits": [ 1819 ],
- "attributes": {
- }
- },
- "$abc$20048$n3086": {
- "hide_name": 1,
- "bits": [ 1822 ],
- "attributes": {
- }
- },
- "$abc$20048$n3088_1": {
- "hide_name": 1,
- "bits": [ 1825 ],
- "attributes": {
- }
- },
- "$abc$20048$n3090": {
- "hide_name": 1,
- "bits": [ 1828 ],
- "attributes": {
- }
- },
- "$abc$20048$n3092": {
- "hide_name": 1,
- "bits": [ 1831 ],
- "attributes": {
- }
- },
- "$abc$20048$n3094": {
- "hide_name": 1,
- "bits": [ 1834 ],
- "attributes": {
- }
- },
- "$abc$20048$n3096": {
- "hide_name": 1,
- "bits": [ 1837 ],
- "attributes": {
- }
- },
- "$abc$20048$n3098": {
- "hide_name": 1,
- "bits": [ 1840 ],
- "attributes": {
- }
- },
- "$abc$20048$n3100": {
- "hide_name": 1,
- "bits": [ 1843 ],
- "attributes": {
- }
- },
- "$abc$20048$n3102_1": {
- "hide_name": 1,
- "bits": [ 1846 ],
- "attributes": {
- }
- },
- "$abc$20048$n3104_1": {
- "hide_name": 1,
- "bits": [ 1849 ],
- "attributes": {
- }
- },
- "$abc$20048$n3106": {
- "hide_name": 1,
- "bits": [ 1852 ],
- "attributes": {
- }
- },
- "$abc$20048$n3108": {
- "hide_name": 1,
- "bits": [ 1855 ],
- "attributes": {
- }
- },
- "$abc$20048$n3110": {
- "hide_name": 1,
- "bits": [ 1858 ],
- "attributes": {
- }
- },
- "$abc$20048$n3112": {
- "hide_name": 1,
- "bits": [ 1861 ],
- "attributes": {
- }
- },
- "$abc$20048$n3114": {
- "hide_name": 1,
- "bits": [ 1864 ],
- "attributes": {
- }
- },
- "$abc$20048$n3116_1": {
- "hide_name": 1,
- "bits": [ 1867 ],
- "attributes": {
- }
- },
- "$abc$20048$n3118": {
- "hide_name": 1,
- "bits": [ 1870 ],
- "attributes": {
- }
- },
- "$abc$20048$n3120_1": {
- "hide_name": 1,
- "bits": [ 1873 ],
- "attributes": {
- }
- },
- "$abc$20048$n3122": {
- "hide_name": 1,
- "bits": [ 1876 ],
- "attributes": {
- }
- },
- "$abc$20048$n3124": {
- "hide_name": 1,
- "bits": [ 1879 ],
- "attributes": {
- }
- },
- "$abc$20048$n3126": {
- "hide_name": 1,
- "bits": [ 1882 ],
- "attributes": {
- }
- },
- "$abc$20048$n3128": {
- "hide_name": 1,
- "bits": [ 1885 ],
- "attributes": {
- }
- },
- "$abc$20048$n3130": {
- "hide_name": 1,
- "bits": [ 1888 ],
- "attributes": {
- }
- },
- "$abc$20048$n3132": {
- "hide_name": 1,
- "bits": [ 1891 ],
- "attributes": {
- }
- },
- "$abc$20048$n3134_1": {
- "hide_name": 1,
- "bits": [ 1894 ],
- "attributes": {
- }
- },
- "$abc$20048$n3136": {
- "hide_name": 1,
- "bits": [ 1897 ],
- "attributes": {
- }
- },
- "$abc$20048$n3138_1": {
- "hide_name": 1,
- "bits": [ 1900 ],
- "attributes": {
- }
- },
- "$abc$20048$n3140_1": {
- "hide_name": 1,
- "bits": [ 1903 ],
- "attributes": {
- }
- },
- "$abc$20048$n3142_1": {
- "hide_name": 1,
- "bits": [ 1906 ],
- "attributes": {
- }
- },
- "$abc$20048$n3144": {
- "hide_name": 1,
- "bits": [ 1909 ],
- "attributes": {
- }
- },
- "$abc$20048$n3146_1": {
- "hide_name": 1,
- "bits": [ 1912 ],
- "attributes": {
- }
- },
- "$abc$20048$n3148": {
- "hide_name": 1,
- "bits": [ 1915 ],
- "attributes": {
- }
- },
- "$abc$20048$n3150": {
- "hide_name": 1,
- "bits": [ 1918 ],
- "attributes": {
- }
- },
- "$abc$20048$n3152_1": {
- "hide_name": 1,
- "bits": [ 1921 ],
- "attributes": {
- }
- },
- "$abc$20048$n3154_1": {
- "hide_name": 1,
- "bits": [ 1924 ],
- "attributes": {
- }
- },
- "$abc$20048$n3156": {
- "hide_name": 1,
- "bits": [ 1927 ],
- "attributes": {
- }
- },
- "$abc$20048$n3158_1": {
- "hide_name": 1,
- "bits": [ 1930 ],
- "attributes": {
- }
- },
- "$abc$20048$n3160": {
- "hide_name": 1,
- "bits": [ 1933 ],
- "attributes": {
- }
- },
- "$abc$20048$n3162": {
- "hide_name": 1,
- "bits": [ 1936 ],
- "attributes": {
- }
- },
- "$abc$20048$n3164_1": {
- "hide_name": 1,
- "bits": [ 1939 ],
- "attributes": {
- }
- },
- "$abc$20048$n3166": {
- "hide_name": 1,
- "bits": [ 1942 ],
- "attributes": {
- }
- },
- "$abc$20048$n3168_1": {
- "hide_name": 1,
- "bits": [ 1945 ],
- "attributes": {
- }
- },
- "$abc$20048$n3170_1": {
- "hide_name": 1,
- "bits": [ 1948 ],
- "attributes": {
- }
- },
- "$abc$20048$n3172_1": {
- "hide_name": 1,
- "bits": [ 1951 ],
- "attributes": {
- }
- },
- "$abc$20048$n3174": {
- "hide_name": 1,
- "bits": [ 1954 ],
- "attributes": {
- }
- },
- "$abc$20048$n3176": {
- "hide_name": 1,
- "bits": [ 1957 ],
- "attributes": {
- }
- },
- "$abc$20048$n3178_1": {
- "hide_name": 1,
- "bits": [ 1960 ],
- "attributes": {
- }
- },
- "$abc$20048$n3180": {
- "hide_name": 1,
- "bits": [ 1963 ],
- "attributes": {
- }
- },
- "$abc$20048$n3182_1": {
- "hide_name": 1,
- "bits": [ 1966 ],
- "attributes": {
- }
- },
- "$abc$20048$n3184_1": {
- "hide_name": 1,
- "bits": [ 1969 ],
- "attributes": {
- }
- },
- "$abc$20048$n3186": {
- "hide_name": 1,
- "bits": [ 1972 ],
- "attributes": {
- }
- },
- "$abc$20048$n3188": {
- "hide_name": 1,
- "bits": [ 1975 ],
- "attributes": {
- }
- },
- "$abc$20048$n3190_1": {
- "hide_name": 1,
- "bits": [ 1978 ],
- "attributes": {
- }
- },
- "$abc$20048$n3192_1": {
- "hide_name": 1,
- "bits": [ 1981 ],
- "attributes": {
- }
- },
- "$abc$20048$n3194": {
- "hide_name": 1,
- "bits": [ 1984 ],
- "attributes": {
- }
- },
- "$abc$20048$n3196": {
- "hide_name": 1,
- "bits": [ 1987 ],
- "attributes": {
- }
- },
- "$abc$20048$n3198": {
- "hide_name": 1,
- "bits": [ 1990 ],
- "attributes": {
- }
- },
- "$abc$20048$n3200_1": {
- "hide_name": 1,
- "bits": [ 1993 ],
- "attributes": {
- }
- },
- "$abc$20048$n3202": {
- "hide_name": 1,
- "bits": [ 1996 ],
- "attributes": {
- }
- },
- "$abc$20048$n3204": {
- "hide_name": 1,
- "bits": [ 1999 ],
- "attributes": {
- }
- },
- "$abc$20048$n3206_1": {
- "hide_name": 1,
- "bits": [ 2002 ],
- "attributes": {
- }
- },
- "$abc$20048$n3208_1": {
- "hide_name": 1,
- "bits": [ 2005 ],
- "attributes": {
- }
- },
- "$abc$20048$n3210_1": {
- "hide_name": 1,
- "bits": [ 2008 ],
- "attributes": {
- }
- },
- "$abc$20048$n3212": {
- "hide_name": 1,
- "bits": [ 2011 ],
- "attributes": {
- }
- },
- "$abc$20048$n3214": {
- "hide_name": 1,
- "bits": [ 2014 ],
- "attributes": {
- }
- },
- "$abc$20048$n3216_1": {
- "hide_name": 1,
- "bits": [ 2017 ],
- "attributes": {
- }
- },
- "$abc$20048$n3218": {
- "hide_name": 1,
- "bits": [ 2020 ],
- "attributes": {
- }
- },
- "$abc$20048$n3220": {
- "hide_name": 1,
- "bits": [ 2023 ],
- "attributes": {
- }
- },
- "$abc$20048$n3222_1": {
- "hide_name": 1,
- "bits": [ 2026 ],
- "attributes": {
- }
- },
- "$abc$20048$n3224": {
- "hide_name": 1,
- "bits": [ 2029 ],
- "attributes": {
- }
- },
- "$abc$20048$n3226": {
- "hide_name": 1,
- "bits": [ 2032 ],
- "attributes": {
- }
- },
- "$abc$20048$n3228_1": {
- "hide_name": 1,
- "bits": [ 2035 ],
- "attributes": {
- }
- },
- "$abc$20048$n3230": {
- "hide_name": 1,
- "bits": [ 2038 ],
- "attributes": {
- }
- },
- "$abc$20048$n3232_1": {
- "hide_name": 1,
- "bits": [ 2041 ],
- "attributes": {
- }
- },
- "$abc$20048$n3234": {
- "hide_name": 1,
- "bits": [ 2044 ],
- "attributes": {
- }
- },
- "$abc$20048$n3236": {
- "hide_name": 1,
- "bits": [ 2047 ],
- "attributes": {
- }
- },
- "$abc$20048$n3238": {
- "hide_name": 1,
- "bits": [ 2050 ],
- "attributes": {
- }
- },
- "$abc$20048$n3240": {
- "hide_name": 1,
- "bits": [ 2053 ],
- "attributes": {
- }
- },
- "$abc$20048$n3242": {
- "hide_name": 1,
- "bits": [ 2056 ],
- "attributes": {
- }
- },
- "$abc$20048$n3244": {
- "hide_name": 1,
- "bits": [ 2059 ],
- "attributes": {
- }
- },
- "$abc$20048$n3246": {
- "hide_name": 1,
- "bits": [ 2062 ],
- "attributes": {
- }
- },
- "$abc$20048$n3248": {
- "hide_name": 1,
- "bits": [ 2065 ],
- "attributes": {
- }
- },
- "$abc$20048$n3250_1": {
- "hide_name": 1,
- "bits": [ 2068 ],
- "attributes": {
- }
- },
- "$abc$20048$n3252_1": {
- "hide_name": 1,
- "bits": [ 2071 ],
- "attributes": {
- }
- },
- "$abc$20048$n3254": {
- "hide_name": 1,
- "bits": [ 2074 ],
- "attributes": {
- }
- },
- "$abc$20048$n3256": {
- "hide_name": 1,
- "bits": [ 2077 ],
- "attributes": {
- }
- },
- "$abc$20048$n3258": {
- "hide_name": 1,
- "bits": [ 2080 ],
- "attributes": {
- }
- },
- "$abc$20048$n3260": {
- "hide_name": 1,
- "bits": [ 2083 ],
- "attributes": {
- }
- },
- "$abc$20048$n3262_1": {
- "hide_name": 1,
- "bits": [ 2086 ],
- "attributes": {
- }
- },
- "$abc$20048$n3264": {
- "hide_name": 1,
- "bits": [ 2089 ],
- "attributes": {
- }
- },
- "$abc$20048$n3266": {
- "hide_name": 1,
- "bits": [ 2092 ],
- "attributes": {
- }
- },
- "$abc$20048$n3268_1": {
- "hide_name": 1,
- "bits": [ 2095 ],
- "attributes": {
- }
- },
- "$abc$20048$n3270_1": {
- "hide_name": 1,
- "bits": [ 2098 ],
- "attributes": {
- }
- },
- "$abc$20048$n3272": {
- "hide_name": 1,
- "bits": [ 2101 ],
- "attributes": {
- }
- },
- "$abc$20048$n3274_1": {
- "hide_name": 1,
- "bits": [ 2104 ],
- "attributes": {
- }
- },
- "$abc$20048$n3276": {
- "hide_name": 1,
- "bits": [ 2107 ],
- "attributes": {
- }
- },
- "$abc$20048$n3278_1": {
- "hide_name": 1,
- "bits": [ 2110 ],
- "attributes": {
- }
- },
- "$abc$20048$n3280": {
- "hide_name": 1,
- "bits": [ 2113 ],
- "attributes": {
- }
- },
- "$abc$20048$n3282_1": {
- "hide_name": 1,
- "bits": [ 2116 ],
- "attributes": {
- }
- },
- "$abc$20048$n3284_1": {
- "hide_name": 1,
- "bits": [ 2119 ],
- "attributes": {
- }
- },
- "$abc$20048$n3286_1": {
- "hide_name": 1,
- "bits": [ 2122 ],
- "attributes": {
- }
- },
- "$abc$20048$n3288_1": {
- "hide_name": 1,
- "bits": [ 2125 ],
- "attributes": {
- }
- },
- "$abc$20048$n3290_1": {
- "hide_name": 1,
- "bits": [ 2128 ],
- "attributes": {
- }
- },
- "$abc$20048$n3292_1": {
- "hide_name": 1,
- "bits": [ 2131 ],
- "attributes": {
- }
- },
- "$abc$20048$n3294_1": {
- "hide_name": 1,
- "bits": [ 2134 ],
- "attributes": {
- }
- },
- "$abc$20048$n3296_1": {
- "hide_name": 1,
- "bits": [ 2137 ],
- "attributes": {
- }
- },
- "$abc$20048$n3298_1": {
- "hide_name": 1,
- "bits": [ 2140 ],
- "attributes": {
- }
- },
- "$abc$20048$n3300_1": {
- "hide_name": 1,
- "bits": [ 2143 ],
- "attributes": {
- }
- },
- "$abc$20048$n3302_1": {
- "hide_name": 1,
- "bits": [ 2146 ],
- "attributes": {
- }
- },
- "$abc$20048$n3304_1": {
- "hide_name": 1,
- "bits": [ 2149 ],
- "attributes": {
- }
- },
- "$abc$20048$n3321_1": {
- "hide_name": 1,
- "bits": [ 2167 ],
- "attributes": {
- }
- },
- "$abc$20048$n3322_1": {
- "hide_name": 1,
- "bits": [ 2169 ],
- "attributes": {
- }
- },
- "$abc$20048$n3323_1": {
- "hide_name": 1,
- "bits": [ 2170 ],
- "attributes": {
- }
- },
- "$abc$20048$n3324_1": {
- "hide_name": 1,
- "bits": [ 2166 ],
- "attributes": {
- }
- },
- "$abc$20048$n3326_1": {
- "hide_name": 1,
- "bits": [ 2172 ],
- "attributes": {
- }
- },
- "$abc$20048$n3327_1": {
- "hide_name": 1,
- "bits": [ 2171 ],
- "attributes": {
- }
- },
- "$abc$20048$n3329_1": {
- "hide_name": 1,
- "bits": [ 2176 ],
- "attributes": {
- }
- },
- "$abc$20048$n3330_1": {
- "hide_name": 1,
- "bits": [ 2175 ],
- "attributes": {
- }
- },
- "$abc$20048$n3332_1": {
- "hide_name": 1,
- "bits": [ 2181 ],
- "attributes": {
- }
- },
- "$abc$20048$n3333_1": {
- "hide_name": 1,
- "bits": [ 2180 ],
- "attributes": {
- }
- },
- "$abc$20048$n3335_1": {
- "hide_name": 1,
- "bits": [ 2185 ],
- "attributes": {
- }
- },
- "$abc$20048$n3336_1": {
- "hide_name": 1,
- "bits": [ 2184 ],
- "attributes": {
- }
- },
- "$abc$20048$n3338": {
- "hide_name": 1,
- "bits": [ 2189 ],
- "attributes": {
- }
- },
- "$abc$20048$n3339": {
- "hide_name": 1,
- "bits": [ 2188 ],
- "attributes": {
- }
- },
- "$abc$20048$n3341": {
- "hide_name": 1,
- "bits": [ 2193 ],
- "attributes": {
- }
- },
- "$abc$20048$n3342": {
- "hide_name": 1,
- "bits": [ 2192 ],
- "attributes": {
- }
- },
- "$abc$20048$n3344": {
- "hide_name": 1,
- "bits": [ 2197 ],
- "attributes": {
- }
- },
- "$abc$20048$n3345": {
- "hide_name": 1,
- "bits": [ 2196 ],
- "attributes": {
- }
- },
- "$abc$20048$n3347": {
- "hide_name": 1,
- "bits": [ 2201 ],
- "attributes": {
- }
- },
- "$abc$20048$n3348": {
- "hide_name": 1,
- "bits": [ 2200 ],
- "attributes": {
- }
- },
- "$abc$20048$n3350": {
- "hide_name": 1,
- "bits": [ 2205 ],
- "attributes": {
- }
- },
- "$abc$20048$n3351": {
- "hide_name": 1,
- "bits": [ 2204 ],
- "attributes": {
- }
- },
- "$abc$20048$n3353": {
- "hide_name": 1,
- "bits": [ 2209 ],
- "attributes": {
- }
- },
- "$abc$20048$n3354": {
- "hide_name": 1,
- "bits": [ 2208 ],
- "attributes": {
- }
- },
- "$abc$20048$n3356": {
- "hide_name": 1,
- "bits": [ 2213 ],
- "attributes": {
- }
- },
- "$abc$20048$n3357": {
- "hide_name": 1,
- "bits": [ 2212 ],
- "attributes": {
- }
- },
- "$abc$20048$n3359": {
- "hide_name": 1,
- "bits": [ 2217 ],
- "attributes": {
- }
- },
- "$abc$20048$n3360": {
- "hide_name": 1,
- "bits": [ 2216 ],
- "attributes": {
- }
- },
- "$abc$20048$n3362": {
- "hide_name": 1,
- "bits": [ 2221 ],
- "attributes": {
- }
- },
- "$abc$20048$n3363": {
- "hide_name": 1,
- "bits": [ 2220 ],
- "attributes": {
- }
- },
- "$abc$20048$n3365": {
- "hide_name": 1,
- "bits": [ 2224 ],
- "attributes": {
- }
- },
- "$abc$20048$n3367": {
- "hide_name": 1,
- "bits": [ 2226 ],
- "attributes": {
- }
- },
- "$abc$20048$n3374": {
- "hide_name": 1,
- "bits": [ 2239 ],
- "attributes": {
- }
- },
- "$abc$20048$n3375": {
- "hide_name": 1,
- "bits": [ 2242 ],
- "attributes": {
- }
- },
- "$abc$20048$n3376": {
- "hide_name": 1,
- "bits": [ 2244 ],
- "attributes": {
- }
- },
- "$abc$20048$n3377": {
- "hide_name": 1,
- "bits": [ 2246 ],
- "attributes": {
- }
- },
- "$abc$20048$n3378": {
- "hide_name": 1,
- "bits": [ 2247 ],
- "attributes": {
- }
- },
- "$abc$20048$n3379": {
- "hide_name": 1,
- "bits": [ 2243 ],
- "attributes": {
- }
- },
- "$abc$20048$n3380": {
- "hide_name": 1,
- "bits": [ 2248 ],
- "attributes": {
- }
- },
- "$abc$20048$n3381": {
- "hide_name": 1,
- "bits": [ 2249 ],
- "attributes": {
- }
- },
- "$abc$20048$n3382": {
- "hide_name": 1,
- "bits": [ 2250 ],
- "attributes": {
- }
- },
- "$abc$20048$n3383": {
- "hide_name": 1,
- "bits": [ 2245 ],
- "attributes": {
- }
- },
- "$abc$20048$n3384": {
- "hide_name": 1,
- "bits": [ 2241 ],
- "attributes": {
- }
- },
- "$abc$20048$n3385": {
- "hide_name": 1,
- "bits": [ 2238 ],
- "attributes": {
- }
- },
- "$abc$20048$n3387": {
- "hide_name": 1,
- "bits": [ 2252 ],
- "attributes": {
- }
- },
- "$abc$20048$n3389": {
- "hide_name": 1,
- "bits": [ 2254 ],
- "attributes": {
- }
- },
- "$abc$20048$n3391": {
- "hide_name": 1,
- "bits": [ 2256 ],
- "attributes": {
- }
- },
- "$abc$20048$n3393": {
- "hide_name": 1,
- "bits": [ 2258 ],
- "attributes": {
- }
- },
- "$abc$20048$n3395": {
- "hide_name": 1,
- "bits": [ 2260 ],
- "attributes": {
- }
- },
- "$abc$20048$n3397": {
- "hide_name": 1,
- "bits": [ 2262 ],
- "attributes": {
- }
- },
- "$abc$20048$n3399": {
- "hide_name": 1,
- "bits": [ 2264 ],
- "attributes": {
- }
- },
- "$abc$20048$n3401": {
- "hide_name": 1,
- "bits": [ 2267 ],
- "attributes": {
- }
- },
- "$abc$20048$n3403": {
- "hide_name": 1,
- "bits": [ 2270 ],
- "attributes": {
- }
- },
- "$abc$20048$n3405": {
- "hide_name": 1,
- "bits": [ 2273 ],
- "attributes": {
- }
- },
- "$abc$20048$n3407": {
- "hide_name": 1,
- "bits": [ 2276 ],
- "attributes": {
- }
- },
- "$abc$20048$n3409": {
- "hide_name": 1,
- "bits": [ 2279 ],
- "attributes": {
- }
- },
- "$abc$20048$n3413": {
- "hide_name": 1,
- "bits": [ 2283 ],
- "attributes": {
- }
- },
- "$abc$20048$n3414": {
- "hide_name": 1,
- "bits": [ 2282 ],
- "attributes": {
- }
- },
- "$abc$20048$n3415": {
- "hide_name": 1,
- "bits": [ 2281 ],
- "attributes": {
- }
- },
- "$abc$20048$n3417": {
- "hide_name": 1,
- "bits": [ 2285 ],
- "attributes": {
- }
- },
- "$abc$20048$n3418": {
- "hide_name": 1,
- "bits": [ 2284 ],
- "attributes": {
- }
- },
- "$abc$20048$n3420": {
- "hide_name": 1,
- "bits": [ 2287 ],
- "attributes": {
- }
- },
- "$abc$20048$n3421": {
- "hide_name": 1,
- "bits": [ 2286 ],
- "attributes": {
- }
- },
- "$abc$20048$n3422": {
- "hide_name": 1,
- "bits": [ 2288 ],
- "attributes": {
- }
- },
- "$abc$20048$n3424": {
- "hide_name": 1,
- "bits": [ 2290 ],
- "attributes": {
- }
- },
- "$abc$20048$n3425": {
- "hide_name": 1,
- "bits": [ 2289 ],
- "attributes": {
- }
- },
- "$abc$20048$n3426": {
- "hide_name": 1,
- "bits": [ 2291 ],
- "attributes": {
- }
- },
- "$abc$20048$n3427": {
- "hide_name": 1,
- "bits": [ 2295 ],
- "attributes": {
- }
- },
- "$abc$20048$n3428": {
- "hide_name": 1,
- "bits": [ 2293 ],
- "attributes": {
- }
- },
- "$abc$20048$n3429": {
- "hide_name": 1,
- "bits": [ 2296 ],
- "attributes": {
- }
- },
- "$abc$20048$n3430": {
- "hide_name": 1,
- "bits": [ 2292 ],
- "attributes": {
- }
- },
- "$abc$20048$n3432": {
- "hide_name": 1,
- "bits": [ 2297 ],
- "attributes": {
- }
- },
- "$abc$20048$n3433": {
- "hide_name": 1,
- "bits": [ 2300 ],
- "attributes": {
- }
- },
- "$abc$20048$n3434": {
- "hide_name": 1,
- "bits": [ 2298 ],
- "attributes": {
- }
- },
- "$abc$20048$n3435": {
- "hide_name": 1,
- "bits": [ 2299 ],
- "attributes": {
- }
- },
- "$abc$20048$n3436": {
- "hide_name": 1,
- "bits": [ 2305 ],
- "attributes": {
- }
- },
- "$abc$20048$n3437": {
- "hide_name": 1,
- "bits": [ 2303 ],
- "attributes": {
- }
- },
- "$abc$20048$n3438": {
- "hide_name": 1,
- "bits": [ 2302 ],
- "attributes": {
- }
- },
- "$abc$20048$n3439": {
- "hide_name": 1,
- "bits": [ 2308 ],
- "attributes": {
- }
- },
- "$abc$20048$n3440": {
- "hide_name": 1,
- "bits": [ 2307 ],
- "attributes": {
- }
- },
- "$abc$20048$n3441": {
- "hide_name": 1,
- "bits": [ 2306 ],
- "attributes": {
- }
- },
- "$abc$20048$n3442": {
- "hide_name": 1,
- "bits": [ 2313 ],
- "attributes": {
- }
- },
- "$abc$20048$n3443": {
- "hide_name": 1,
- "bits": [ 2311 ],
- "attributes": {
- }
- },
- "$abc$20048$n3444": {
- "hide_name": 1,
- "bits": [ 2314 ],
- "attributes": {
- }
- },
- "$abc$20048$n3445": {
- "hide_name": 1,
- "bits": [ 2312 ],
- "attributes": {
- }
- },
- "$abc$20048$n3446": {
- "hide_name": 1,
- "bits": [ 2310 ],
- "attributes": {
- }
- },
- "$abc$20048$n3447": {
- "hide_name": 1,
- "bits": [ 2316 ],
- "attributes": {
- }
- },
- "$abc$20048$n3448": {
- "hide_name": 1,
- "bits": [ 2317 ],
- "attributes": {
- }
- },
- "$abc$20048$n3449": {
- "hide_name": 1,
- "bits": [ 2318 ],
- "attributes": {
- }
- },
- "$abc$20048$n3450": {
- "hide_name": 1,
- "bits": [ 2309 ],
- "attributes": {
- }
- },
- "$abc$20048$n3451": {
- "hide_name": 1,
- "bits": [ 2328 ],
- "attributes": {
- }
- },
- "$abc$20048$n3452": {
- "hide_name": 1,
- "bits": [ 2329 ],
- "attributes": {
- }
- },
- "$abc$20048$n3454": {
- "hide_name": 1,
- "bits": [ 2337 ],
- "attributes": {
- }
- },
- "$abc$20048$n3455": {
- "hide_name": 1,
- "bits": [ 2341 ],
- "attributes": {
- }
- },
- "$abc$20048$n3456": {
- "hide_name": 1,
- "bits": [ 2342 ],
- "attributes": {
- }
- },
- "$abc$20048$n3457": {
- "hide_name": 1,
- "bits": [ 2343 ],
- "attributes": {
- }
- },
- "$abc$20048$n3458": {
- "hide_name": 1,
- "bits": [ 2338 ],
- "attributes": {
- }
- },
- "$abc$20048$n3459": {
- "hide_name": 1,
- "bits": [ 2345 ],
- "attributes": {
- }
- },
- "$abc$20048$n3460": {
- "hide_name": 1,
- "bits": [ 2344 ],
- "attributes": {
- }
- },
- "$abc$20048$n3461": {
- "hide_name": 1,
- "bits": [ 2346 ],
- "attributes": {
- }
- },
- "$abc$20048$n3462": {
- "hide_name": 1,
- "bits": [ 2347 ],
- "attributes": {
- }
- },
- "$abc$20048$n3463": {
- "hide_name": 1,
- "bits": [ 2339 ],
- "attributes": {
- }
- },
- "$abc$20048$n3467": {
- "hide_name": 1,
- "bits": [ 2351 ],
- "attributes": {
- }
- },
- "$abc$20048$n3472": {
- "hide_name": 1,
- "bits": [ 2352 ],
- "attributes": {
- }
- },
- "$abc$20048$n3473": {
- "hide_name": 1,
- "bits": [ 2355 ],
- "attributes": {
- }
- },
- "$abc$20048$n3474": {
- "hide_name": 1,
- "bits": [ 2356 ],
- "attributes": {
- }
- },
- "$abc$20048$n3480": {
- "hide_name": 1,
- "bits": [ 2357 ],
- "attributes": {
- }
- },
- "$abc$20048$n3482": {
- "hide_name": 1,
- "bits": [ 2359 ],
- "attributes": {
- }
- },
- "$abc$20048$n3483": {
- "hide_name": 1,
- "bits": [ 2361 ],
- "attributes": {
- }
- },
- "$abc$20048$n3485": {
- "hide_name": 1,
- "bits": [ 2362 ],
- "attributes": {
- }
- },
- "$abc$20048$n3486": {
- "hide_name": 1,
- "bits": [ 2363 ],
- "attributes": {
- }
- },
- "$abc$20048$n3488": {
- "hide_name": 1,
- "bits": [ 2367 ],
- "attributes": {
- }
- },
- "$abc$20048$n3489": {
- "hide_name": 1,
- "bits": [ 2364 ],
- "attributes": {
- }
- },
- "$abc$20048$n3490": {
- "hide_name": 1,
- "bits": [ 2365 ],
- "attributes": {
- }
- },
- "$abc$20048$n3491": {
- "hide_name": 1,
- "bits": [ 2366 ],
- "attributes": {
- }
- },
- "$abc$20048$n3495": {
- "hide_name": 1,
- "bits": [ 2370 ],
- "attributes": {
- }
- },
- "$abc$20048$n3497": {
- "hide_name": 1,
- "bits": [ 2371 ],
- "attributes": {
- }
- },
- "$abc$20048$n3505": {
- "hide_name": 1,
- "bits": [ 2374 ],
- "attributes": {
- }
- },
- "$abc$20048$n3506": {
- "hide_name": 1,
- "bits": [ 2377 ],
- "attributes": {
- }
- },
- "$abc$20048$n3507": {
- "hide_name": 1,
- "bits": [ 2375 ],
- "attributes": {
- }
- },
- "$abc$20048$n3508": {
- "hide_name": 1,
- "bits": [ 2376 ],
- "attributes": {
- }
- },
- "$abc$20048$n3510": {
- "hide_name": 1,
- "bits": [ 2378 ],
- "attributes": {
- }
- },
- "$abc$20048$n3511": {
- "hide_name": 1,
- "bits": [ 2382 ],
- "attributes": {
- }
- },
- "$abc$20048$n3512": {
- "hide_name": 1,
- "bits": [ 2383 ],
- "attributes": {
- }
- },
- "$abc$20048$n3513": {
- "hide_name": 1,
- "bits": [ 2379 ],
- "attributes": {
- }
- },
- "$abc$20048$n3514": {
- "hide_name": 1,
- "bits": [ 2385 ],
- "attributes": {
- }
- },
- "$abc$20048$n3515": {
- "hide_name": 1,
- "bits": [ 2386 ],
- "attributes": {
- }
- },
- "$abc$20048$n3516": {
- "hide_name": 1,
- "bits": [ 2380 ],
- "attributes": {
- }
- },
- "$abc$20048$n3517": {
- "hide_name": 1,
- "bits": [ 2388 ],
- "attributes": {
- }
- },
- "$abc$20048$n3519": {
- "hide_name": 1,
- "bits": [ 2389 ],
- "attributes": {
- }
- },
- "$abc$20048$n3520": {
- "hide_name": 1,
- "bits": [ 2394 ],
- "attributes": {
- }
- },
- "$abc$20048$n3521": {
- "hide_name": 1,
- "bits": [ 2393 ],
- "attributes": {
- }
- },
- "$abc$20048$n3522": {
- "hide_name": 1,
- "bits": [ 2390 ],
- "attributes": {
- }
- },
- "$abc$20048$n3523": {
- "hide_name": 1,
- "bits": [ 2396 ],
- "attributes": {
- }
- },
- "$abc$20048$n3524": {
- "hide_name": 1,
- "bits": [ 2397 ],
- "attributes": {
- }
- },
- "$abc$20048$n3525": {
- "hide_name": 1,
- "bits": [ 2391 ],
- "attributes": {
- }
- },
- "$abc$20048$n3526": {
- "hide_name": 1,
- "bits": [ 2399 ],
- "attributes": {
- }
- },
- "$abc$20048$n3527": {
- "hide_name": 1,
- "bits": [ 2400 ],
- "attributes": {
- }
- },
- "$abc$20048$n3533": {
- "hide_name": 1,
- "bits": [ 2401 ],
- "attributes": {
- }
- },
- "$abc$20048$n3534": {
- "hide_name": 1,
- "bits": [ 2403 ],
- "attributes": {
- }
- },
- "$abc$20048$n3537": {
- "hide_name": 1,
- "bits": [ 2404 ],
- "attributes": {
- }
- },
- "$abc$20048$n3538": {
- "hide_name": 1,
- "bits": [ 2405 ],
- "attributes": {
- }
- },
- "$abc$20048$n3543": {
- "hide_name": 1,
- "bits": [ 2410 ],
- "attributes": {
- }
- },
- "$abc$20048$n3544": {
- "hide_name": 1,
- "bits": [ 2406 ],
- "attributes": {
- }
- },
- "$abc$20048$n3545": {
- "hide_name": 1,
- "bits": [ 2407 ],
- "attributes": {
- }
- },
- "$abc$20048$n3546": {
- "hide_name": 1,
- "bits": [ 2408 ],
- "attributes": {
- }
- },
- "$abc$20048$n3547": {
- "hide_name": 1,
- "bits": [ 2409 ],
- "attributes": {
- }
- },
- "$abc$20048$n3550": {
- "hide_name": 1,
- "bits": [ 2412 ],
- "attributes": {
- }
- },
- "$abc$20048$n3551": {
- "hide_name": 1,
- "bits": [ 2416 ],
- "attributes": {
- }
- },
- "$abc$20048$n3552": {
- "hide_name": 1,
- "bits": [ 2417 ],
- "attributes": {
- }
- },
- "$abc$20048$n3553": {
- "hide_name": 1,
- "bits": [ 2413 ],
- "attributes": {
- }
- },
- "$abc$20048$n3554": {
- "hide_name": 1,
- "bits": [ 2420 ],
- "attributes": {
- }
- },
- "$abc$20048$n3555": {
- "hide_name": 1,
- "bits": [ 2421 ],
- "attributes": {
- }
- },
- "$abc$20048$n3556": {
- "hide_name": 1,
- "bits": [ 2414 ],
- "attributes": {
- }
- },
- "$abc$20048$n3557": {
- "hide_name": 1,
- "bits": [ 2422 ],
- "attributes": {
- }
- },
- "$abc$20048$n3560": {
- "hide_name": 1,
- "bits": [ 2424 ],
- "attributes": {
- }
- },
- "$abc$20048$n3565": {
- "hide_name": 1,
- "bits": [ 2428 ],
- "attributes": {
- }
- },
- "$abc$20048$n3566": {
- "hide_name": 1,
- "bits": [ 2425 ],
- "attributes": {
- }
- },
- "$abc$20048$n3567": {
- "hide_name": 1,
- "bits": [ 2426 ],
- "attributes": {
- }
- },
- "$abc$20048$n3568": {
- "hide_name": 1,
- "bits": [ 2427 ],
- "attributes": {
- }
- },
- "$abc$20048$n3573": {
- "hide_name": 1,
- "bits": [ 2431 ],
- "attributes": {
- }
- },
- "$abc$20048$n3575": {
- "hide_name": 1,
- "bits": [ 2435 ],
- "attributes": {
- }
- },
- "$abc$20048$n3576": {
- "hide_name": 1,
- "bits": [ 2433 ],
- "attributes": {
- }
- },
- "$abc$20048$n3577": {
- "hide_name": 1,
- "bits": [ 2434 ],
- "attributes": {
- }
- },
- "$abc$20048$n3578": {
- "hide_name": 1,
- "bits": [ 2436 ],
- "attributes": {
- }
- },
- "$abc$20048$n3585": {
- "hide_name": 1,
- "bits": [ 2439 ],
- "attributes": {
- }
- },
- "$abc$20048$n3586": {
- "hide_name": 1,
- "bits": [ 2437 ],
- "attributes": {
- }
- },
- "$abc$20048$n3587": {
- "hide_name": 1,
- "bits": [ 2438 ],
- "attributes": {
- }
- },
- "$abc$20048$n3588": {
- "hide_name": 1,
- "bits": [ 2442 ],
- "attributes": {
- }
- },
- "$abc$20048$n3591": {
- "hide_name": 1,
- "bits": [ 2443 ],
- "attributes": {
- }
- },
- "$abc$20048$n3593": {
- "hide_name": 1,
- "bits": [ 2444 ],
- "attributes": {
- }
- },
- "$abc$20048$n3596": {
- "hide_name": 1,
- "bits": [ 2445 ],
- "attributes": {
- }
- },
- "$abc$20048$n3598": {
- "hide_name": 1,
- "bits": [ 2447 ],
- "attributes": {
- }
- },
- "$abc$20048$n3599": {
- "hide_name": 1,
- "bits": [ 2448 ],
- "attributes": {
- }
- },
- "$abc$20048$n3602": {
- "hide_name": 1,
- "bits": [ 2449 ],
- "attributes": {
- }
- },
- "$abc$20048$n3603": {
- "hide_name": 1,
- "bits": [ 2450 ],
- "attributes": {
- }
- },
- "$abc$20048$n3606": {
- "hide_name": 1,
- "bits": [ 2451 ],
- "attributes": {
- }
- },
- "$abc$20048$n3611": {
- "hide_name": 1,
- "bits": [ 2452 ],
- "attributes": {
- }
- },
- "$abc$20048$n3612": {
- "hide_name": 1,
- "bits": [ 2457 ],
- "attributes": {
- }
- },
- "$abc$20048$n3613": {
- "hide_name": 1,
- "bits": [ 2459 ],
- "attributes": {
- }
- },
- "$abc$20048$n3614": {
- "hide_name": 1,
- "bits": [ 2453 ],
- "attributes": {
- }
- },
- "$abc$20048$n3615": {
- "hide_name": 1,
- "bits": [ 2462 ],
- "attributes": {
- }
- },
- "$abc$20048$n3616": {
- "hide_name": 1,
- "bits": [ 2463 ],
- "attributes": {
- }
- },
- "$abc$20048$n3617": {
- "hide_name": 1,
- "bits": [ 2461 ],
- "attributes": {
- }
- },
- "$abc$20048$n3618": {
- "hide_name": 1,
- "bits": [ 2454 ],
- "attributes": {
- }
- },
- "$abc$20048$n3619": {
- "hide_name": 1,
- "bits": [ 2467 ],
- "attributes": {
- }
- },
- "$abc$20048$n3620": {
- "hide_name": 1,
- "bits": [ 2468 ],
- "attributes": {
- }
- },
- "$abc$20048$n3621": {
- "hide_name": 1,
- "bits": [ 2466 ],
- "attributes": {
- }
- },
- "$abc$20048$n3623": {
- "hide_name": 1,
- "bits": [ 2474 ],
- "attributes": {
- }
- },
- "$abc$20048$n3624": {
- "hide_name": 1,
- "bits": [ 2477 ],
- "attributes": {
- }
- },
- "$abc$20048$n3625": {
- "hide_name": 1,
- "bits": [ 2479 ],
- "attributes": {
- }
- },
- "$abc$20048$n3626": {
- "hide_name": 1,
- "bits": [ 2482 ],
- "attributes": {
- }
- },
- "$abc$20048$n3627": {
- "hide_name": 1,
- "bits": [ 2483 ],
- "attributes": {
- }
- },
- "$abc$20048$n3628": {
- "hide_name": 1,
- "bits": [ 2480 ],
- "attributes": {
- }
- },
- "$abc$20048$n3629": {
- "hide_name": 1,
- "bits": [ 2476 ],
- "attributes": {
- }
- },
- "$abc$20048$n3630": {
- "hide_name": 1,
- "bits": [ 2488 ],
- "attributes": {
- }
- },
- "$abc$20048$n3631": {
- "hide_name": 1,
- "bits": [ 2489 ],
- "attributes": {
- }
- },
- "$abc$20048$n3632": {
- "hide_name": 1,
- "bits": [ 2473 ],
- "attributes": {
- }
- },
- "$abc$20048$n3633": {
- "hide_name": 1,
- "bits": [ 2492 ],
- "attributes": {
- }
- },
- "$abc$20048$n3635": {
- "hide_name": 1,
- "bits": [ 2493 ],
- "attributes": {
- }
- },
- "$abc$20048$n3636": {
- "hide_name": 1,
- "bits": [ 2498 ],
- "attributes": {
- }
- },
- "$abc$20048$n3637": {
- "hide_name": 1,
- "bits": [ 2501 ],
- "attributes": {
- }
- },
- "$abc$20048$n3638": {
- "hide_name": 1,
- "bits": [ 2499 ],
- "attributes": {
- }
- },
- "$abc$20048$n3639": {
- "hide_name": 1,
- "bits": [ 2496 ],
- "attributes": {
- }
- },
- "$abc$20048$n3640": {
- "hide_name": 1,
- "bits": [ 2497 ],
- "attributes": {
- }
- },
- "$abc$20048$n3641": {
- "hide_name": 1,
- "bits": [ 2503 ],
- "attributes": {
- }
- },
- "$abc$20048$n3642": {
- "hide_name": 1,
- "bits": [ 2504 ],
- "attributes": {
- }
- },
- "$abc$20048$n3643": {
- "hide_name": 1,
- "bits": [ 2505 ],
- "attributes": {
- }
- },
- "$abc$20048$n3644": {
- "hide_name": 1,
- "bits": [ 2506 ],
- "attributes": {
- }
- },
- "$abc$20048$n3646": {
- "hide_name": 1,
- "bits": [ 2512 ],
- "attributes": {
- }
- },
- "$abc$20048$n3647": {
- "hide_name": 1,
- "bits": [ 2513 ],
- "attributes": {
- }
- },
- "$abc$20048$n3648": {
- "hide_name": 1,
- "bits": [ 2514 ],
- "attributes": {
- }
- },
- "$abc$20048$n3649": {
- "hide_name": 1,
- "bits": [ 2519 ],
- "attributes": {
- }
- },
- "$abc$20048$n3650": {
- "hide_name": 1,
- "bits": [ 2520 ],
- "attributes": {
- }
- },
- "$abc$20048$n3651": {
- "hide_name": 1,
- "bits": [ 2521 ],
- "attributes": {
- }
- },
- "$abc$20048$n3652": {
- "hide_name": 1,
- "bits": [ 2522 ],
- "attributes": {
- }
- },
- "$abc$20048$n3654": {
- "hide_name": 1,
- "bits": [ 2530 ],
- "attributes": {
- }
- },
- "$abc$20048$n3655": {
- "hide_name": 1,
- "bits": [ 2533 ],
- "attributes": {
- }
- },
- "$abc$20048$n3656": {
- "hide_name": 1,
- "bits": [ 2534 ],
- "attributes": {
- }
- },
- "$abc$20048$n3657": {
- "hide_name": 1,
- "bits": [ 2535 ],
- "attributes": {
- }
- },
- "$abc$20048$n3658": {
- "hide_name": 1,
- "bits": [ 2536 ],
- "attributes": {
- }
- },
- "$abc$20048$n3659": {
- "hide_name": 1,
- "bits": [ 2537 ],
- "attributes": {
- }
- },
- "$abc$20048$n3660": {
- "hide_name": 1,
- "bits": [ 2529 ],
- "attributes": {
- }
- },
- "$abc$20048$n3662": {
- "hide_name": 1,
- "bits": [ 2547 ],
- "attributes": {
- }
- },
- "$abc$20048$n3663": {
- "hide_name": 1,
- "bits": [ 2552 ],
- "attributes": {
- }
- },
- "$abc$20048$n3664": {
- "hide_name": 1,
- "bits": [ 2554 ],
- "attributes": {
- }
- },
- "$abc$20048$n3665": {
- "hide_name": 1,
- "bits": [ 2551 ],
- "attributes": {
- }
- },
- "$abc$20048$n3666": {
- "hide_name": 1,
- "bits": [ 2548 ],
- "attributes": {
- }
- },
- "$abc$20048$n3667": {
- "hide_name": 1,
- "bits": [ 2558 ],
- "attributes": {
- }
- },
- "$abc$20048$n3668": {
- "hide_name": 1,
- "bits": [ 2560 ],
- "attributes": {
- }
- },
- "$abc$20048$n3669": {
- "hide_name": 1,
- "bits": [ 2559 ],
- "attributes": {
- }
- },
- "$abc$20048$n3670": {
- "hide_name": 1,
- "bits": [ 2546 ],
- "attributes": {
- }
- },
- "$abc$20048$n3671": {
- "hide_name": 1,
- "bits": [ 2565 ],
- "attributes": {
- }
- },
- "$abc$20048$n3673": {
- "hide_name": 1,
- "bits": [ 2567 ],
- "attributes": {
- }
- },
- "$abc$20048$n3674": {
- "hide_name": 1,
- "bits": [ 2570 ],
- "attributes": {
- }
- },
- "$abc$20048$n3675": {
- "hide_name": 1,
- "bits": [ 2571 ],
- "attributes": {
- }
- },
- "$abc$20048$n3676": {
- "hide_name": 1,
- "bits": [ 2572 ],
- "attributes": {
- }
- },
- "$abc$20048$n3677": {
- "hide_name": 1,
- "bits": [ 2573 ],
- "attributes": {
- }
- },
- "$abc$20048$n3678": {
- "hide_name": 1,
- "bits": [ 2574 ],
- "attributes": {
- }
- },
- "$abc$20048$n3679": {
- "hide_name": 1,
- "bits": [ 2566 ],
- "attributes": {
- }
- },
- "$abc$20048$n3681": {
- "hide_name": 1,
- "bits": [ 2582 ],
- "attributes": {
- }
- },
- "$abc$20048$n3682": {
- "hide_name": 1,
- "bits": [ 2585 ],
- "attributes": {
- }
- },
- "$abc$20048$n3683": {
- "hide_name": 1,
- "bits": [ 2588 ],
- "attributes": {
- }
- },
- "$abc$20048$n3684": {
- "hide_name": 1,
- "bits": [ 2590 ],
- "attributes": {
- }
- },
- "$abc$20048$n3685": {
- "hide_name": 1,
- "bits": [ 2591 ],
- "attributes": {
- }
- },
- "$abc$20048$n3686": {
- "hide_name": 1,
- "bits": [ 2589 ],
- "attributes": {
- }
- },
- "$abc$20048$n3687": {
- "hide_name": 1,
- "bits": [ 2586 ],
- "attributes": {
- }
- },
- "$abc$20048$n3689": {
- "hide_name": 1,
- "bits": [ 2599 ],
- "attributes": {
- }
- },
- "$abc$20048$n3690": {
- "hide_name": 1,
- "bits": [ 2603 ],
- "attributes": {
- }
- },
- "$abc$20048$n3691": {
- "hide_name": 1,
- "bits": [ 2604 ],
- "attributes": {
- }
- },
- "$abc$20048$n3692": {
- "hide_name": 1,
- "bits": [ 2605 ],
- "attributes": {
- }
- },
- "$abc$20048$n3693": {
- "hide_name": 1,
- "bits": [ 2606 ],
- "attributes": {
- }
- },
- "$abc$20048$n3694": {
- "hide_name": 1,
- "bits": [ 2602 ],
- "attributes": {
- }
- },
- "$abc$20048$n3696": {
- "hide_name": 1,
- "bits": [ 2614 ],
- "attributes": {
- }
- },
- "$abc$20048$n3697": {
- "hide_name": 1,
- "bits": [ 2616 ],
- "attributes": {
- }
- },
- "$abc$20048$n3698": {
- "hide_name": 1,
- "bits": [ 2619 ],
- "attributes": {
- }
- },
- "$abc$20048$n3699": {
- "hide_name": 1,
- "bits": [ 2620 ],
- "attributes": {
- }
- },
- "$abc$20048$n3700": {
- "hide_name": 1,
- "bits": [ 2617 ],
- "attributes": {
- }
- },
- "$abc$20048$n3701": {
- "hide_name": 1,
- "bits": [ 2612 ],
- "attributes": {
- }
- },
- "$abc$20048$n3702": {
- "hide_name": 1,
- "bits": [ 2613 ],
- "attributes": {
- }
- },
- "$abc$20048$n3704": {
- "hide_name": 1,
- "bits": [ 2627 ],
- "attributes": {
- }
- },
- "$abc$20048$n3705": {
- "hide_name": 1,
- "bits": [ 2630 ],
- "attributes": {
- }
- },
- "$abc$20048$n3706": {
- "hide_name": 1,
- "bits": [ 2631 ],
- "attributes": {
- }
- },
- "$abc$20048$n3707": {
- "hide_name": 1,
- "bits": [ 2634 ],
- "attributes": {
- }
- },
- "$abc$20048$n3708": {
- "hide_name": 1,
- "bits": [ 2635 ],
- "attributes": {
- }
- },
- "$abc$20048$n3709": {
- "hide_name": 1,
- "bits": [ 2636 ],
- "attributes": {
- }
- },
- "$abc$20048$n3711": {
- "hide_name": 1,
- "bits": [ 2641 ],
- "attributes": {
- }
- },
- "$abc$20048$n3712": {
- "hide_name": 1,
- "bits": [ 2645 ],
- "attributes": {
- }
- },
- "$abc$20048$n3713": {
- "hide_name": 1,
- "bits": [ 2644 ],
- "attributes": {
- }
- },
- "$abc$20048$n3714": {
- "hide_name": 1,
- "bits": [ 2648 ],
- "attributes": {
- }
- },
- "$abc$20048$n3715": {
- "hide_name": 1,
- "bits": [ 2649 ],
- "attributes": {
- }
- },
- "$abc$20048$n3716": {
- "hide_name": 1,
- "bits": [ 2650 ],
- "attributes": {
- }
- },
- "$abc$20048$n3718": {
- "hide_name": 1,
- "bits": [ 2655 ],
- "attributes": {
- }
- },
- "$abc$20048$n3719": {
- "hide_name": 1,
- "bits": [ 2659 ],
- "attributes": {
- }
- },
- "$abc$20048$n3720": {
- "hide_name": 1,
- "bits": [ 2658 ],
- "attributes": {
- }
- },
- "$abc$20048$n3721": {
- "hide_name": 1,
- "bits": [ 2662 ],
- "attributes": {
- }
- },
- "$abc$20048$n3722": {
- "hide_name": 1,
- "bits": [ 2663 ],
- "attributes": {
- }
- },
- "$abc$20048$n3723": {
- "hide_name": 1,
- "bits": [ 2664 ],
- "attributes": {
- }
- },
- "$abc$20048$n3725": {
- "hide_name": 1,
- "bits": [ 2669 ],
- "attributes": {
- }
- },
- "$abc$20048$n3726": {
- "hide_name": 1,
- "bits": [ 2673 ],
- "attributes": {
- }
- },
- "$abc$20048$n3727": {
- "hide_name": 1,
- "bits": [ 2672 ],
- "attributes": {
- }
- },
- "$abc$20048$n3728": {
- "hide_name": 1,
- "bits": [ 2676 ],
- "attributes": {
- }
- },
- "$abc$20048$n3729": {
- "hide_name": 1,
- "bits": [ 2677 ],
- "attributes": {
- }
- },
- "$abc$20048$n3730": {
- "hide_name": 1,
- "bits": [ 2678 ],
- "attributes": {
- }
- },
- "$abc$20048$n3732": {
- "hide_name": 1,
- "bits": [ 2685 ],
- "attributes": {
- }
- },
- "$abc$20048$n3735": {
- "hide_name": 1,
- "bits": [ 2689 ],
- "attributes": {
- }
- },
- "$abc$20048$n3736": {
- "hide_name": 1,
- "bits": [ 2687 ],
- "attributes": {
- }
- },
- "$abc$20048$n3737": {
- "hide_name": 1,
- "bits": [ 2688 ],
- "attributes": {
- }
- },
- "$abc$20048$n3739": {
- "hide_name": 1,
- "bits": [ 2693 ],
- "attributes": {
- }
- },
- "$abc$20048$n3741": {
- "hide_name": 1,
- "bits": [ 2694 ],
- "attributes": {
- }
- },
- "$abc$20048$n3742": {
- "hide_name": 1,
- "bits": [ 2695 ],
- "attributes": {
- }
- },
- "$abc$20048$n3743": {
- "hide_name": 1,
- "bits": [ 2696 ],
- "attributes": {
- }
- },
- "$abc$20048$n3744": {
- "hide_name": 1,
- "bits": [ 2701 ],
- "attributes": {
- }
- },
- "$abc$20048$n3745": {
- "hide_name": 1,
- "bits": [ 2702 ],
- "attributes": {
- }
- },
- "$abc$20048$n3746": {
- "hide_name": 1,
- "bits": [ 2703 ],
- "attributes": {
- }
- },
- "$abc$20048$n3753": {
- "hide_name": 1,
- "bits": [ 2715 ],
- "attributes": {
- }
- },
- "$abc$20048$n3756": {
- "hide_name": 1,
- "bits": [ 2718 ],
- "attributes": {
- }
- },
- "$abc$20048$n3812": {
- "hide_name": 1,
- "bits": [ 2810 ],
- "attributes": {
- }
- },
- "$abc$20048$n3813": {
- "hide_name": 1,
- "bits": [ 2812 ],
- "attributes": {
- }
- },
- "$abc$20048$n3814": {
- "hide_name": 1,
- "bits": [ 2815 ],
- "attributes": {
- }
- },
- "$abc$20048$n3816": {
- "hide_name": 1,
- "bits": [ 2819 ],
- "attributes": {
- }
- },
- "$abc$20048$n3817": {
- "hide_name": 1,
- "bits": [ 96 ],
- "attributes": {
- }
- },
- "$abc$20048$n3818": {
- "hide_name": 1,
- "bits": [ 2824 ],
- "attributes": {
- }
- },
- "$abc$20048$n3819": {
- "hide_name": 1,
- "bits": [ 99 ],
- "attributes": {
- }
- },
- "$abc$20048$n3820": {
- "hide_name": 1,
- "bits": [ 2829 ],
- "attributes": {
- }
- },
- "$abc$20048$n3821": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$20048$n3822": {
- "hide_name": 1,
- "bits": [ 2834 ],
- "attributes": {
- }
- },
- "$abc$20048$n3823": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$20048$n3824": {
- "hide_name": 1,
- "bits": [ 2839 ],
- "attributes": {
- }
- },
- "$abc$20048$n3825": {
- "hide_name": 1,
- "bits": [ 105 ],
- "attributes": {
- }
- },
- "$abc$20048$n3826": {
- "hide_name": 1,
- "bits": [ 2844 ],
- "attributes": {
- }
- },
- "$abc$20048$n3827": {
- "hide_name": 1,
- "bits": [ 107 ],
- "attributes": {
- }
- },
- "$abc$20048$n3828": {
- "hide_name": 1,
- "bits": [ 2849 ],
- "attributes": {
- }
- },
- "$abc$20048$n3829": {
- "hide_name": 1,
- "bits": [ 109 ],
- "attributes": {
- }
- },
- "$abc$20048$n3830": {
- "hide_name": 1,
- "bits": [ 2854 ],
- "attributes": {
- }
- },
- "$abc$20048$n3831": {
- "hide_name": 1,
- "bits": [ 111 ],
- "attributes": {
- }
- },
- "$abc$20048$n3832": {
- "hide_name": 1,
- "bits": [ 2859 ],
- "attributes": {
- }
- },
- "$abc$20048$n3833": {
- "hide_name": 1,
- "bits": [ 113 ],
- "attributes": {
- }
- },
- "$abc$20048$n3834": {
- "hide_name": 1,
- "bits": [ 2864 ],
- "attributes": {
- }
- },
- "$abc$20048$n3835": {
- "hide_name": 1,
- "bits": [ 115 ],
- "attributes": {
- }
- },
- "$abc$20048$n3836": {
- "hide_name": 1,
- "bits": [ 2869 ],
- "attributes": {
- }
- },
- "$abc$20048$n3837": {
- "hide_name": 1,
- "bits": [ 117 ],
- "attributes": {
- }
- },
- "$abc$20048$n3838": {
- "hide_name": 1,
- "bits": [ 2874 ],
- "attributes": {
- }
- },
- "$abc$20048$n3839": {
- "hide_name": 1,
- "bits": [ 119 ],
- "attributes": {
- }
- },
- "$abc$20048$n3840": {
- "hide_name": 1,
- "bits": [ 2879 ],
- "attributes": {
- }
- },
- "$abc$20048$n3841": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$20048$n3842": {
- "hide_name": 1,
- "bits": [ 2884 ],
- "attributes": {
- }
- },
- "$abc$20048$n3843": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$20048$n3844": {
- "hide_name": 1,
- "bits": [ 2889 ],
- "attributes": {
- }
- },
- "$abc$20048$n3845": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$20048$n3846": {
- "hide_name": 1,
- "bits": [ 2894 ],
- "attributes": {
- }
- },
- "$abc$20048$n3847": {
- "hide_name": 1,
- "bits": [ 127 ],
- "attributes": {
- }
- },
- "$abc$20048$n3850": {
- "hide_name": 1,
- "bits": [ 2897 ],
- "attributes": {
- }
- },
- "$abc$20048$n3852": {
- "hide_name": 1,
- "bits": [ 2898 ],
- "attributes": {
- }
- },
- "$abc$20048$n3859": {
- "hide_name": 1,
- "bits": [ 2899 ],
- "attributes": {
- }
- },
- "$abc$20048$n3861": {
- "hide_name": 1,
- "bits": [ 2900 ],
- "attributes": {
- }
- },
- "$abc$20048$n3862": {
- "hide_name": 1,
- "bits": [ 2902 ],
- "attributes": {
- }
- },
- "$abc$20048$n3863": {
- "hide_name": 1,
- "bits": [ 2903 ],
- "attributes": {
- }
- },
- "$abc$20048$n3865": {
- "hide_name": 1,
- "bits": [ 2905 ],
- "attributes": {
- }
- },
- "$abc$20048$n3866": {
- "hide_name": 1,
- "bits": [ 2906 ],
- "attributes": {
- }
- },
- "$abc$20048$n3867": {
- "hide_name": 1,
- "bits": [ 2907 ],
- "attributes": {
- }
- },
- "$abc$20048$n3868": {
- "hide_name": 1,
- "bits": [ 2908 ],
- "attributes": {
- }
- },
- "$abc$20048$n3870": {
- "hide_name": 1,
- "bits": [ 2910 ],
- "attributes": {
- }
- },
- "$abc$20048$n3873": {
- "hide_name": 1,
- "bits": [ 2911 ],
- "attributes": {
- }
- },
- "$abc$20048$n3875": {
- "hide_name": 1,
- "bits": [ 2912 ],
- "attributes": {
- }
- },
- "$abc$20048$n3876": {
- "hide_name": 1,
- "bits": [ 2914 ],
- "attributes": {
- }
- },
- "$abc$20048$n3877": {
- "hide_name": 1,
- "bits": [ 2915 ],
- "attributes": {
- }
- },
- "$abc$20048$n3879": {
- "hide_name": 1,
- "bits": [ 2917 ],
- "attributes": {
- }
- },
- "$abc$20048$n3880": {
- "hide_name": 1,
- "bits": [ 2918 ],
- "attributes": {
- }
- },
- "$abc$20048$n3881": {
- "hide_name": 1,
- "bits": [ 2919 ],
- "attributes": {
- }
- },
- "$abc$20048$n3883": {
- "hide_name": 1,
- "bits": [ 2921 ],
- "attributes": {
- }
- },
- "$abc$20048$n3884": {
- "hide_name": 1,
- "bits": [ 2922 ],
- "attributes": {
- }
- },
- "$abc$20048$n3885": {
- "hide_name": 1,
- "bits": [ 2923 ],
- "attributes": {
- }
- },
- "$abc$20048$n3887": {
- "hide_name": 1,
- "bits": [ 2925 ],
- "attributes": {
- }
- },
- "$abc$20048$n3888": {
- "hide_name": 1,
- "bits": [ 2926 ],
- "attributes": {
- }
- },
- "$abc$20048$n3889": {
- "hide_name": 1,
- "bits": [ 2927 ],
- "attributes": {
- }
- },
- "$abc$20048$n3890": {
- "hide_name": 1,
- "bits": [ 2928 ],
- "attributes": {
- }
- },
- "$abc$20048$n3892": {
- "hide_name": 1,
- "bits": [ 2930 ],
- "attributes": {
- }
- },
- "$abc$20048$n3893": {
- "hide_name": 1,
- "bits": [ 2932 ],
- "attributes": {
- }
- },
- "$abc$20048$n3894": {
- "hide_name": 1,
- "bits": [ 2933 ],
- "attributes": {
- }
- },
- "$abc$20048$n3896": {
- "hide_name": 1,
- "bits": [ 2935 ],
- "attributes": {
- }
- },
- "$abc$20048$n3898": {
- "hide_name": 1,
- "bits": [ 2937 ],
- "attributes": {
- }
- },
- "$abc$20048$n3900": {
- "hide_name": 1,
- "bits": [ 2939 ],
- "attributes": {
- }
- },
- "$abc$20048$n3901": {
- "hide_name": 1,
- "bits": [ 2940 ],
- "attributes": {
- }
- },
- "$abc$20048$n3903": {
- "hide_name": 1,
- "bits": [ 2942 ],
- "attributes": {
- }
- },
- "$abc$20048$n3904": {
- "hide_name": 1,
- "bits": [ 2943 ],
- "attributes": {
- }
- },
- "$abc$20048$n3905": {
- "hide_name": 1,
- "bits": [ 2944 ],
- "attributes": {
- }
- },
- "$abc$20048$n3907": {
- "hide_name": 1,
- "bits": [ 2946 ],
- "attributes": {
- }
- },
- "$abc$20048$n3908": {
- "hide_name": 1,
- "bits": [ 2947 ],
- "attributes": {
- }
- },
- "$abc$20048$n3909": {
- "hide_name": 1,
- "bits": [ 2949 ],
- "attributes": {
- }
- },
- "$abc$20048$n3911": {
- "hide_name": 1,
- "bits": [ 2951 ],
- "attributes": {
- }
- },
- "$abc$20048$n3912": {
- "hide_name": 1,
- "bits": [ 2952 ],
- "attributes": {
- }
- },
- "$abc$20048$n3913": {
- "hide_name": 1,
- "bits": [ 2954 ],
- "attributes": {
- }
- },
- "$abc$20048$n3915": {
- "hide_name": 1,
- "bits": [ 2956 ],
- "attributes": {
- }
- },
- "$abc$20048$n3916": {
- "hide_name": 1,
- "bits": [ 2958 ],
- "attributes": {
- }
- },
- "$abc$20048$n3918": {
- "hide_name": 1,
- "bits": [ 2960 ],
- "attributes": {
- }
- },
- "$abc$20048$n3919": {
- "hide_name": 1,
- "bits": [ 2962 ],
- "attributes": {
- }
- },
- "$auto$alumacc.cc:474:replace_alu$722.C": {
- "hide_name": 1,
- "bits": [ 5283, 2965, 2967, 2969, 2971 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:138|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$725.C": {
- "hide_name": 1,
- "bits": [ 5284, 5285, 2978, 2980, 2982, 2984, 2986, 2988, 2990, 2992, 2974, 2975, 2977 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:37|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$728.C": {
- "hide_name": 1,
- "bits": [ 5286, 5287, 2995, 2997, 2999, 3001, 3003 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$731.C": {
- "hide_name": 1,
- "bits": [ 5288, 3005, 3013, 3014, 3015, 3016, 3017, 3018, 3019, 3020, 3006, 3007, 3008, 3009, 3010, 3011, 3012 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:79|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$734.C": {
- "hide_name": 1,
- "bits": [ 5289, 3021, 3028, 3029, 3030, 3031, 3032, 3033, 3034, 3035, 3022, 3023, 3024, 3025, 3026, 3027 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:93|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$737.C": {
- "hide_name": 1,
- "bits": [ 5290, 5291, 3037, 3039 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$740.C": {
- "hide_name": 1,
- "bits": [ 5292, 5293, 3041, 3042, 3043 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$743.C": {
- "hide_name": 1,
- "bits": [ 5294, 5295, 3044, 3045, 3046, 3047 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:44|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$746.C": {
- "hide_name": 1,
- "bits": [ 5296, 5297, 3049, 3051 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$wreduce.cc:347:run$712": {
- "hide_name": 1,
- "bits": [ 2994, 5298, 2996, 2998, 3000, 3002, 3004, 5299, 5300, 5301, 5302, 5303, 5304, 5305, 5306, 5307, 5308, 5309, 5310, 5311, 5312, 5313, 5314, 5315, 5316, 5317, 5318, 5319, 5320, 5321, 5322, 5323 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:20"
- }
- },
- "$techmap\\_spi3.$add$../verilog/spislaverx.v:33$405_Y": {
- "hide_name": 1,
- "bits": [ 3036, 5324, 3038, 3040 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:33"
- }
- },
- "$techmap\\_uart0._tx.$procmux$554_Y": {
- "hide_name": 1,
- "bits": [ 3048, 5325, 3050, 3052 ],
- "attributes": {
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ],
- "attributes": {
- "src": "j4a.v:123"
- }
- },
- "LEDS": {
- "hide_name": 0,
- "bits": [ 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 390, 392, 394, 396, 398 ],
- "attributes": {
- "src": "j4a.v:352"
- }
- },
- "MISO": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "j4a.v:135"
- }
- },
- "MISO2": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "j4a.v:139"
- }
- },
- "MOSI": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "j4a.v:136"
- }
- },
- "MOSI2": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "j4a.v:140"
- }
- },
- "PA": {
- "hide_name": 0,
- "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ],
- "attributes": {
- "src": "j4a.v:133"
- }
- },
- "PIOS": {
- "hide_name": 0,
- "bits": [ 2525, 2543, 2561 ],
- "attributes": {
- "src": "j4a.v:367"
- }
- },
- "PIOS_00": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "j4a.v:128"
- }
- },
- "PIOS_01": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "j4a.v:129"
- }
- },
- "PIOS_02": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "j4a.v:130"
- }
- },
- "PIOS_03": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "j4a.v:131"
- }
- },
- "RXD": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "j4a.v:126"
- }
- },
- "SCL": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "j4a.v:137"
- }
- },
- "SCL2": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "j4a.v:141"
- }
- },
- "TXD": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "j4a.v:125"
- }
- },
- "_bn00.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:9"
- }
- },
- "_bn00.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:8"
- }
- },
- "_bn00.RDATA": {
- "hide_name": 0,
- "bits": [ 2724, 2727 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:7"
- }
- },
- "_bn00.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:11"
- }
- },
- "_bn00.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:10"
- }
- },
- "_bn00.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:10"
- }
- },
- "_bn00.WDATA": {
- "hide_name": 0,
- "bits": [ 433, 438 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:12"
- }
- },
- "_bn00.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:10"
- }
- },
- "_bn00.rd": {
- "hide_name": 0,
- "bits": [ 5056, 5057, 5058, 2724, 5059, 5060, 5061, 5062, 5063, 5064, 5065, 2727, 5066, 5067, 5068, 5069 ],
- "attributes": {
- "src": "../build/ram.v:5|j4a.v:31"
- }
- },
- "_bn01.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:9"
- }
- },
- "_bn01.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:8"
- }
- },
- "_bn01.RDATA": {
- "hide_name": 0,
- "bits": [ 2730, 2733 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:7"
- }
- },
- "_bn01.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:11"
- }
- },
- "_bn01.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:10"
- }
- },
- "_bn01.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:10"
- }
- },
- "_bn01.WDATA": {
- "hide_name": 0,
- "bits": [ 443, 448 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:12"
- }
- },
- "_bn01.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:10"
- }
- },
- "_bn01.rd": {
- "hide_name": 0,
- "bits": [ 5070, 5071, 5072, 2730, 5073, 5074, 5075, 5076, 5077, 5078, 5079, 2733, 5080, 5081, 5082, 5083 ],
- "attributes": {
- "src": "../build/ram.v:30|j4a.v:31"
- }
- },
- "_bn02.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:9"
- }
- },
- "_bn02.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:8"
- }
- },
- "_bn02.RDATA": {
- "hide_name": 0,
- "bits": [ 2736, 2739 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:7"
- }
- },
- "_bn02.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:11"
- }
- },
- "_bn02.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:10"
- }
- },
- "_bn02.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:10"
- }
- },
- "_bn02.WDATA": {
- "hide_name": 0,
- "bits": [ 453, 458 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:12"
- }
- },
- "_bn02.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:10"
- }
- },
- "_bn02.rd": {
- "hide_name": 0,
- "bits": [ 5084, 5085, 5086, 2736, 5087, 5088, 5089, 5090, 5091, 5092, 5093, 2739, 5094, 5095, 5096, 5097 ],
- "attributes": {
- "src": "../build/ram.v:55|j4a.v:31"
- }
- },
- "_bn03.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:9"
- }
- },
- "_bn03.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:8"
- }
- },
- "_bn03.RDATA": {
- "hide_name": 0,
- "bits": [ 2742, 2745 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:7"
- }
- },
- "_bn03.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:11"
- }
- },
- "_bn03.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:10"
- }
- },
- "_bn03.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:10"
- }
- },
- "_bn03.WDATA": {
- "hide_name": 0,
- "bits": [ 463, 468 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:12"
- }
- },
- "_bn03.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:10"
- }
- },
- "_bn03.rd": {
- "hide_name": 0,
- "bits": [ 5098, 5099, 5100, 2742, 5101, 5102, 5103, 5104, 5105, 5106, 5107, 2745, 5108, 5109, 5110, 5111 ],
- "attributes": {
- "src": "../build/ram.v:80|j4a.v:31"
- }
- },
- "_bn04.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:9"
- }
- },
- "_bn04.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:8"
- }
- },
- "_bn04.RDATA": {
- "hide_name": 0,
- "bits": [ 2748, 2751 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:7"
- }
- },
- "_bn04.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:11"
- }
- },
- "_bn04.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:10"
- }
- },
- "_bn04.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:10"
- }
- },
- "_bn04.WDATA": {
- "hide_name": 0,
- "bits": [ 473, 478 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:12"
- }
- },
- "_bn04.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:10"
- }
- },
- "_bn04.rd": {
- "hide_name": 0,
- "bits": [ 5112, 5113, 5114, 2748, 5115, 5116, 5117, 5118, 5119, 5120, 5121, 2751, 5122, 5123, 5124, 5125 ],
- "attributes": {
- "src": "../build/ram.v:105|j4a.v:31"
- }
- },
- "_bn05.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:9"
- }
- },
- "_bn05.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:8"
- }
- },
- "_bn05.RDATA": {
- "hide_name": 0,
- "bits": [ 2754, 2757 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:7"
- }
- },
- "_bn05.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:11"
- }
- },
- "_bn05.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:10"
- }
- },
- "_bn05.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:10"
- }
- },
- "_bn05.WDATA": {
- "hide_name": 0,
- "bits": [ 483, 488 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:12"
- }
- },
- "_bn05.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:10"
- }
- },
- "_bn05.rd": {
- "hide_name": 0,
- "bits": [ 5126, 5127, 5128, 2754, 5129, 5130, 5131, 5132, 5133, 5134, 5135, 2757, 5136, 5137, 5138, 5139 ],
- "attributes": {
- "src": "../build/ram.v:130|j4a.v:31"
- }
- },
- "_bn06.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:9"
- }
- },
- "_bn06.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:8"
- }
- },
- "_bn06.RDATA": {
- "hide_name": 0,
- "bits": [ 2760, 2763 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:7"
- }
- },
- "_bn06.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:11"
- }
- },
- "_bn06.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:10"
- }
- },
- "_bn06.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:10"
- }
- },
- "_bn06.WDATA": {
- "hide_name": 0,
- "bits": [ 493, 498 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:12"
- }
- },
- "_bn06.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:10"
- }
- },
- "_bn06.rd": {
- "hide_name": 0,
- "bits": [ 5140, 5141, 5142, 2760, 5143, 5144, 5145, 5146, 5147, 5148, 5149, 2763, 5150, 5151, 5152, 5153 ],
- "attributes": {
- "src": "../build/ram.v:155|j4a.v:31"
- }
- },
- "_bn07.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:9"
- }
- },
- "_bn07.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:8"
- }
- },
- "_bn07.RDATA": {
- "hide_name": 0,
- "bits": [ 2766, 2769 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:7"
- }
- },
- "_bn07.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:11"
- }
- },
- "_bn07.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:10"
- }
- },
- "_bn07.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:10"
- }
- },
- "_bn07.WDATA": {
- "hide_name": 0,
- "bits": [ 503, 508 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:12"
- }
- },
- "_bn07.WE": {
- "hide_name": 0,
- "bits": [ 2719 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:10"
- }
- },
- "_bn07.rd": {
- "hide_name": 0,
- "bits": [ 5154, 5155, 5156, 2766, 5157, 5158, 5159, 5160, 5161, 5162, 5163, 2769, 5164, 5165, 5166, 5167 ],
- "attributes": {
- "src": "../build/ram.v:180|j4a.v:31"
- }
- },
- "_bn10.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:9"
- }
- },
- "_bn10.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:8"
- }
- },
- "_bn10.RDATA": {
- "hide_name": 0,
- "bits": [ 2723, 2728 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:7"
- }
- },
- "_bn10.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:11"
- }
- },
- "_bn10.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:10"
- }
- },
- "_bn10.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:10"
- }
- },
- "_bn10.WDATA": {
- "hide_name": 0,
- "bits": [ 433, 438 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:12"
- }
- },
- "_bn10.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:10"
- }
- },
- "_bn10.rd": {
- "hide_name": 0,
- "bits": [ 5168, 5169, 5170, 2723, 5171, 5172, 5173, 5174, 5175, 5176, 5177, 2728, 5178, 5179, 5180, 5181 ],
- "attributes": {
- "src": "../build/ram.v:205|j4a.v:31"
- }
- },
- "_bn11.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:9"
- }
- },
- "_bn11.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:8"
- }
- },
- "_bn11.RDATA": {
- "hide_name": 0,
- "bits": [ 2731, 2734 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:7"
- }
- },
- "_bn11.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:11"
- }
- },
- "_bn11.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:10"
- }
- },
- "_bn11.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:10"
- }
- },
- "_bn11.WDATA": {
- "hide_name": 0,
- "bits": [ 443, 448 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:12"
- }
- },
- "_bn11.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:10"
- }
- },
- "_bn11.rd": {
- "hide_name": 0,
- "bits": [ 5182, 5183, 5184, 2731, 5185, 5186, 5187, 5188, 5189, 5190, 5191, 2734, 5192, 5193, 5194, 5195 ],
- "attributes": {
- "src": "../build/ram.v:230|j4a.v:31"
- }
- },
- "_bn12.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:9"
- }
- },
- "_bn12.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:8"
- }
- },
- "_bn12.RDATA": {
- "hide_name": 0,
- "bits": [ 2737, 2740 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:7"
- }
- },
- "_bn12.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:11"
- }
- },
- "_bn12.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:10"
- }
- },
- "_bn12.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:10"
- }
- },
- "_bn12.WDATA": {
- "hide_name": 0,
- "bits": [ 453, 458 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:12"
- }
- },
- "_bn12.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:10"
- }
- },
- "_bn12.rd": {
- "hide_name": 0,
- "bits": [ 5196, 5197, 5198, 2737, 5199, 5200, 5201, 5202, 5203, 5204, 5205, 2740, 5206, 5207, 5208, 5209 ],
- "attributes": {
- "src": "../build/ram.v:255|j4a.v:31"
- }
- },
- "_bn13.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:9"
- }
- },
- "_bn13.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:8"
- }
- },
- "_bn13.RDATA": {
- "hide_name": 0,
- "bits": [ 2743, 2746 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:7"
- }
- },
- "_bn13.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:11"
- }
- },
- "_bn13.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:10"
- }
- },
- "_bn13.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:10"
- }
- },
- "_bn13.WDATA": {
- "hide_name": 0,
- "bits": [ 463, 468 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:12"
- }
- },
- "_bn13.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:10"
- }
- },
- "_bn13.rd": {
- "hide_name": 0,
- "bits": [ 5210, 5211, 5212, 2743, 5213, 5214, 5215, 5216, 5217, 5218, 5219, 2746, 5220, 5221, 5222, 5223 ],
- "attributes": {
- "src": "../build/ram.v:280|j4a.v:31"
- }
- },
- "_bn14.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:9"
- }
- },
- "_bn14.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:8"
- }
- },
- "_bn14.RDATA": {
- "hide_name": 0,
- "bits": [ 2749, 2752 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:7"
- }
- },
- "_bn14.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:11"
- }
- },
- "_bn14.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:10"
- }
- },
- "_bn14.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:10"
- }
- },
- "_bn14.WDATA": {
- "hide_name": 0,
- "bits": [ 473, 478 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:12"
- }
- },
- "_bn14.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:10"
- }
- },
- "_bn14.rd": {
- "hide_name": 0,
- "bits": [ 5224, 5225, 5226, 2749, 5227, 5228, 5229, 5230, 5231, 5232, 5233, 2752, 5234, 5235, 5236, 5237 ],
- "attributes": {
- "src": "../build/ram.v:305|j4a.v:31"
- }
- },
- "_bn15.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:9"
- }
- },
- "_bn15.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:8"
- }
- },
- "_bn15.RDATA": {
- "hide_name": 0,
- "bits": [ 2755, 2758 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:7"
- }
- },
- "_bn15.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:11"
- }
- },
- "_bn15.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:10"
- }
- },
- "_bn15.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:10"
- }
- },
- "_bn15.WDATA": {
- "hide_name": 0,
- "bits": [ 483, 488 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:12"
- }
- },
- "_bn15.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:10"
- }
- },
- "_bn15.rd": {
- "hide_name": 0,
- "bits": [ 5238, 5239, 5240, 2755, 5241, 5242, 5243, 5244, 5245, 5246, 5247, 2758, 5248, 5249, 5250, 5251 ],
- "attributes": {
- "src": "../build/ram.v:330|j4a.v:31"
- }
- },
- "_bn16.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:9"
- }
- },
- "_bn16.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:8"
- }
- },
- "_bn16.RDATA": {
- "hide_name": 0,
- "bits": [ 2761, 2764 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:7"
- }
- },
- "_bn16.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:11"
- }
- },
- "_bn16.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:10"
- }
- },
- "_bn16.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:10"
- }
- },
- "_bn16.WDATA": {
- "hide_name": 0,
- "bits": [ 493, 498 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:12"
- }
- },
- "_bn16.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:10"
- }
- },
- "_bn16.rd": {
- "hide_name": 0,
- "bits": [ 5252, 5253, 5254, 2761, 5255, 5256, 5257, 5258, 5259, 5260, 5261, 2764, 5262, 5263, 5264, 5265 ],
- "attributes": {
- "src": "../build/ram.v:355|j4a.v:31"
- }
- },
- "_bn17.RADDR": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:9"
- }
- },
- "_bn17.RCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:8"
- }
- },
- "_bn17.RDATA": {
- "hide_name": 0,
- "bits": [ 2767, 2770 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:7"
- }
- },
- "_bn17.WADDR": {
- "hide_name": 0,
- "bits": [ 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:11"
- }
- },
- "_bn17.WCLK": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:10"
- }
- },
- "_bn17.WCLKE": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:10"
- }
- },
- "_bn17.WDATA": {
- "hide_name": 0,
- "bits": [ 503, 508 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:12"
- }
- },
- "_bn17.WE": {
- "hide_name": 0,
- "bits": [ 2720 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:10"
- }
- },
- "_bn17.rd": {
- "hide_name": 0,
- "bits": [ 5266, 5267, 5268, 2767, 5269, 5270, 5271, 5272, 5273, 5274, 5275, 2770, 5276, 5277, 5278, 5279 ],
- "attributes": {
- "src": "../build/ram.v:380|j4a.v:31"
- }
- },
- "_j4.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:6"
- }
- },
- "_j4.code_addr": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140, 3142, 3143 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:17"
- }
- },
- "_j4.dout": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:13"
- }
- },
- "_j4.dsp": {
- "hide_name": 0,
- "bits": [ 2304, 2349, 2354, 2358, 2369 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:27"
- }
- },
- "_j4.dspD": {
- "hide_name": 0,
- "bits": [ 3058, 3060, 3062, 3064, 3066, 3057, 3059, 3061, 3063, 3065, 3067, 3068, 3069, 3070, 3071 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:28"
- }
- },
- "_j4.dspI": {
- "hide_name": 0,
- "bits": [ 426, 429 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:66"
- }
- },
- "_j4.dspN": {
- "hide_name": 0,
- "bits": [ 2964, 2966, 2968, 2970, 2972 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:27"
- }
- },
- "_j4.dstack_.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:5"
- }
- },
- "_j4.dstack_.delay": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508, 430, 435, 440, 445, 450, 455, 460, 465, 470, 475, 480, 485, 490, 495, 500, 505, 434, 439, 444, 449, 454, 459, 464, 469, 474, 479, 484, 489, 494, 499, 504, 509, 512, 515, 518, 521, 524, 527, 530, 533, 536, 539, 542, 545, 548, 551, 554, 557, 560, 563, 566, 569, 572, 575, 578, 581, 584, 587, 590, 593, 596, 599, 602, 605, 608, 611, 614, 617, 620, 623, 626, 629, 632, 635, 638, 641, 644, 647, 650, 653, 656, 659, 662, 665, 668, 671, 674, 677, 680, 683, 686, 689, 692, 695, 698, 701, 704, 707, 710, 713, 716, 719, 722, 725, 728, 731, 734, 737, 740, 743, 746, 749, 752, 755, 758, 761, 764, 767, 770, 773, 776, 779, 782, 785, 788, 791, 794, 797, 800, 803, 806, 809, 812, 815, 818, 821, 824, 827, 830, 833, 836, 839, 842, 845, 848, 851, 854, 857, 860, 863, 866, 869, 872, 875, 878, 881, 884, 887, 890, 893, 896, 899, 902, 905, 908, 911, 914, 917, 920, 923, 926, 929, 932, 935, 938, 941, 944, 947, 950, 953, 956, 959, 962, 965, 968, 971, 974, 977, 980, 983, 986, 989, 992, 995, 998, 1001, 1004, 1007, 1010, 1013, 1016, 1019, 1022, 1025, 1028, 1031, 1034, 1037, 1040, 1043, 1046, 1049, 1052, 1055, 1058, 1061, 1064, 1067, 1070, 1073, 1076, 1079, 1082, 1085, 1088, 1091, 1094, 1097, 1100, 1103, 1106, 1109, 1112, 1115, 1118, 1121, 1124, 1127, 1130, 1133, 1136, 1139, 1142, 1145, 1148, 1151, 1154, 1157, 1160, 1163, 1166, 1169, 1172, 1175, 1178, 1181, 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:29"
- }
- },
- "_j4.dstack_.delayN": {
- "hide_name": 0,
- "bits": [ 3536, 3537, 3538, 3539, 3540, 3541, 3542, 3543, 3544, 3545, 3546, 3547, 3548, 3549, 3550, 3551, 3552, 3553, 3554, 3555, 3556, 3557, 3558, 3559, 3560, 3561, 3562, 3563, 3564, 3565, 3566, 3567, 3568, 3569, 3570, 3571, 3572, 3573, 3574, 3575, 3576, 3577, 3578, 3579, 3580, 3581, 3582, 3583, 3584, 3585, 3586, 3587, 3588, 3589, 3590, 3591, 3592, 3593, 3594, 3595, 3596, 3597, 3598, 3599, 3600, 3601, 3602, 3603, 3604, 3605, 3606, 3607, 3608, 3609, 3610, 3611, 3612, 3613, 3614, 3615, 3616, 3617, 3618, 3619, 3620, 3621, 3622, 3623, 3624, 3625, 3626, 3627, 3628, 3629, 3630, 3631, 3632, 3633, 3634, 3635, 3636, 3637, 3638, 3639, 3640, 3641, 3642, 3643, 3644, 3645, 3646, 3647, 3648, 3649, 3650, 3651, 3652, 3653, 3654, 3655, 3656, 3657, 3658, 3659, 3660, 3661, 3662, 3663, 3664, 3665, 3666, 3667, 3668, 3669, 3670, 3671, 3672, 3673, 3674, 3675, 3676, 3677, 3678, 3679, 3680, 3681, 3682, 3683, 3684, 3685, 3686, 3687, 3688, 3689, 3690, 3691, 3692, 3693, 3694, 3695, 3696, 3697, 3698, 3699, 3700, 3701, 3702, 3703, 3704, 3705, 3706, 3707, 3708, 3709, 3710, 3711, 3712, 3713, 3714, 3715, 3716, 3717, 3718, 3719, 3720, 3721, 3722, 3723, 3724, 3725, 3726, 3727, 3728, 3729, 3730, 3731, 3732, 3733, 3734, 3735, 3736, 3737, 3738, 3739, 3740, 3741, 3742, 3743, 3744, 3745, 3746, 3747, 3748, 3749, 3750, 3751, 3752, 3753, 3754, 3755, 3756, 3757, 3758, 3759, 3760, 3761, 3762, 3763, 3764, 3765, 3766, 3767, 3768, 3769, 3770, 3771, 3772, 3773, 3774, 3775, 3776, 3777, 3778, 3779, 3780, 3781, 3782, 3783, 3784, 3785, 3786, 3787, 3788, 3789, 3790, 3791, 3792, 3793, 3794, 3795, 3796, 3797, 3798, 3799, 3800, 3801, 3802, 3803, 3804, 3805, 3806, 3807, 3808, 3809, 3810, 3811, 3812, 3813, 3814, 3815, 3816, 3817, 3818, 3819, 3820, 3821, 3822, 3823, 3824, 3825, 3826, 3827, 3828, 3829, 3830, 3831, 3832, 3833, 3834, 3835, 3836, 3837, 3838, 3839, 3840, 3841, 3842, 3843, 3844, 3845, 3846, 3847, 3848, 3849, 3850, 3851, 3852, 3853, 3854, 3855, 3856, 3857, 3858, 3859, 3860, 3861, 3862, 3863, 3864, 3865, 3866, 3867, 3868, 3869, 3870, 3871, 3872, 3873, 3874, 3875, 3876, 3877, 3878, 3879, 3880, 3881, 3882, 3883, 3884, 3885, 3886, 3887, 3888, 3889, 3890, 3891, 3892, 3893, 3894, 3895, 3896, 3897, 3898, 3899, 3900, 3901, 3902, 3903, 3904, 3905, 3906, 3907, 3908, 3909, 3910, 3911, 3912, 3913, 3914, 3915, 3916, 3917, 3918, 3919, 3920, 3921, 3922, 3923, 3924, 3925, 3926, 3927, 3928, 3929, 3930, 3931, 3932, 3933, 3934, 3935, 3936, 3937, 3938, 3939, 3940, 3941, 3942, 3943, 3944, 3945, 3946, 3947, 3948, 3949, 3950, 3951, 3952, 3953, 3954, 3955, 3956, 3957, 3958, 3959, 3960, 3961, 3962, 3963, 3964, 3965, 3966, 3967, 3968, 3969, 3970, 3971, 3972, 3973, 3974, 3975, 3976, 3977, 3978, 3979, 3980, 3981, 3982, 3983, 3984, 3985, 3986, 3987, 3988, 3989, 3990, 3991, 3992, 3993, 3994, 3995, 3996, 3997, 3998, 3999, 4000, 4001, 4002, 4003, 4004, 4005, 4006, 4007, 4008, 4009, 4010, 4011, 4012, 4013, 4014, 4015, 4016, 4017, 4018, 4019, 4020, 4021, 4022, 4023, 4024, 4025, 4026, 4027, 4028, 4029, 4030, 4031, 4032, 4033, 4034, 4035, 4036, 4037, 4038, 4039, 4040, 4041, 4042, 4043, 4044, 4045, 4046, 4047, 4048, 4049, 4050, 4051, 4052, 4053, 4054, 4055, 4056, 4057, 4058, 4059, 4060, 4061, 4062, 4063, 4064, 4065, 4066, 4067, 4068, 4069, 4070, 4071, 4072, 4073, 4074, 4075, 4076, 4077, 4078, 4079, 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095, 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:34"
- }
- },
- "_j4.dstack_.delta": {
- "hide_name": 0,
- "bits": [ 426, 429 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:8"
- }
- },
- "_j4.dstack_.head": {
- "hide_name": 0,
- "bits": [ 4080, 4081, 4082, 4083, 4084, 4085, 4086, 4087, 4088, 4089, 4090, 4091, 4092, 4093, 4094, 4095 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:26"
- }
- },
- "_j4.dstack_.headN": {
- "hide_name": 0,
- "bits": [ 1201, 1206, 1209, 1212, 1215, 1218, 1221, 1224, 1227, 1230, 1233, 1236, 1239, 1242, 1245, 1248 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:31"
- }
- },
- "_j4.dstack_.move": {
- "hide_name": 0,
- "bits": [ 426 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:22"
- }
- },
- "_j4.dstack_.oldhead": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:31"
- }
- },
- "_j4.dstack_.oldtail": {
- "hide_name": 0,
- "bits": [ 430, 435, 440, 445, 450, 455, 460, 465, 470, 475, 480, 485, 490, 495, 500, 505, 434, 439, 444, 449, 454, 459, 464, 469, 474, 479, 484, 489, 494, 499, 504, 509, 512, 515, 518, 521, 524, 527, 530, 533, 536, 539, 542, 545, 548, 551, 554, 557, 560, 563, 566, 569, 572, 575, 578, 581, 584, 587, 590, 593, 596, 599, 602, 605, 608, 611, 614, 617, 620, 623, 626, 629, 632, 635, 638, 641, 644, 647, 650, 653, 656, 659, 662, 665, 668, 671, 674, 677, 680, 683, 686, 689, 692, 695, 698, 701, 704, 707, 710, 713, 716, 719, 722, 725, 728, 731, 734, 737, 740, 743, 746, 749, 752, 755, 758, 761, 764, 767, 770, 773, 776, 779, 782, 785, 788, 791, 794, 797, 800, 803, 806, 809, 812, 815, 818, 821, 824, 827, 830, 833, 836, 839, 842, 845, 848, 851, 854, 857, 860, 863, 866, 869, 872, 875, 878, 881, 884, 887, 890, 893, 896, 899, 902, 905, 908, 911, 914, 917, 920, 923, 926, 929, 932, 935, 938, 941, 944, 947, 950, 953, 956, 959, 962, 965, 968, 971, 974, 977, 980, 983, 986, 989, 992, 995, 998, 1001, 1004, 1007, 1010, 1013, 1016, 1019, 1022, 1025, 1028, 1031, 1034, 1037, 1040, 1043, 1046, 1049, 1052, 1055, 1058, 1061, 1064, 1067, 1070, 1073, 1076, 1079, 1082, 1085, 1088, 1091, 1094, 1097, 1100, 1103, 1106, 1109, 1112, 1115, 1118, 1121, 1124, 1127, 1130, 1133, 1136, 1139, 1142, 1145, 1148, 1151, 1154, 1157, 1160, 1163, 1166, 1169, 1172, 1175, 1178, 1181 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:32"
- }
- },
- "_j4.dstack_.pop": {
- "hide_name": 0,
- "bits": [ 429 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:23"
- }
- },
- "_j4.dstack_.rd": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:6"
- }
- },
- "_j4.dstack_.tail": {
- "hide_name": 0,
- "bits": [ 3280, 3281, 3282, 3283, 3284, 3285, 3286, 3287, 3288, 3289, 3290, 3291, 3292, 3293, 3294, 3295, 3296, 3297, 3298, 3299, 3300, 3301, 3302, 3303, 3304, 3305, 3306, 3307, 3308, 3309, 3310, 3311, 3312, 3313, 3314, 3315, 3316, 3317, 3318, 3319, 3320, 3321, 3322, 3323, 3324, 3325, 3326, 3327, 3328, 3329, 3330, 3331, 3332, 3333, 3334, 3335, 3336, 3337, 3338, 3339, 3340, 3341, 3342, 3343, 3344, 3345, 3346, 3347, 3348, 3349, 3350, 3351, 3352, 3353, 3354, 3355, 3356, 3357, 3358, 3359, 3360, 3361, 3362, 3363, 3364, 3365, 3366, 3367, 3368, 3369, 3370, 3371, 3372, 3373, 3374, 3375, 3376, 3377, 3378, 3379, 3380, 3381, 3382, 3383, 3384, 3385, 3386, 3387, 3388, 3389, 3390, 3391, 3392, 3393, 3394, 3395, 3396, 3397, 3398, 3399, 3400, 3401, 3402, 3403, 3404, 3405, 3406, 3407, 3408, 3409, 3410, 3411, 3412, 3413, 3414, 3415, 3416, 3417, 3418, 3419, 3420, 3421, 3422, 3423, 3424, 3425, 3426, 3427, 3428, 3429, 3430, 3431, 3432, 3433, 3434, 3435, 3436, 3437, 3438, 3439, 3440, 3441, 3442, 3443, 3444, 3445, 3446, 3447, 3448, 3449, 3450, 3451, 3452, 3453, 3454, 3455, 3456, 3457, 3458, 3459, 3460, 3461, 3462, 3463, 3464, 3465, 3466, 3467, 3468, 3469, 3470, 3471, 3472, 3473, 3474, 3475, 3476, 3477, 3478, 3479, 3480, 3481, 3482, 3483, 3484, 3485, 3486, 3487, 3488, 3489, 3490, 3491, 3492, 3493, 3494, 3495, 3496, 3497, 3498, 3499, 3500, 3501, 3502, 3503, 3504, 3505, 3506, 3507, 3508, 3509, 3510, 3511, 3512, 3513, 3514, 3515, 3516, 3517, 3518, 3519, 3520, 3521, 3522, 3523, 3524, 3525, 3526, 3527, 3528, 3529, 3530, 3531, 3532, 3533, 3534, 3535 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:27"
- }
- },
- "_j4.dstack_.tailN": {
- "hide_name": 0,
- "bits": [ 432, 437, 442, 447, 452, 457, 462, 467, 472, 477, 482, 487, 492, 497, 502, 507, 511, 514, 517, 520, 523, 526, 529, 532, 535, 538, 541, 544, 547, 550, 553, 556, 559, 562, 565, 568, 571, 574, 577, 580, 583, 586, 589, 592, 595, 598, 601, 604, 607, 610, 613, 616, 619, 622, 625, 628, 631, 634, 637, 640, 643, 646, 649, 652, 655, 658, 661, 664, 667, 670, 673, 676, 679, 682, 685, 688, 691, 694, 697, 700, 703, 706, 709, 712, 715, 718, 721, 724, 727, 730, 733, 736, 739, 742, 745, 748, 751, 754, 757, 760, 763, 766, 769, 772, 775, 778, 781, 784, 787, 790, 793, 796, 799, 802, 805, 808, 811, 814, 817, 820, 823, 826, 829, 832, 835, 838, 841, 844, 847, 850, 853, 856, 859, 862, 865, 868, 871, 874, 877, 880, 883, 886, 889, 892, 895, 898, 901, 904, 907, 910, 913, 916, 919, 922, 925, 928, 931, 934, 937, 940, 943, 946, 949, 952, 955, 958, 961, 964, 967, 970, 973, 976, 979, 982, 985, 988, 991, 994, 997, 1000, 1003, 1006, 1009, 1012, 1015, 1018, 1021, 1024, 1027, 1030, 1033, 1036, 1039, 1042, 1045, 1048, 1051, 1054, 1057, 1060, 1063, 1066, 1069, 1072, 1075, 1078, 1081, 1084, 1087, 1090, 1093, 1096, 1099, 1102, 1105, 1108, 1111, 1114, 1117, 1120, 1123, 1126, 1129, 1132, 1135, 1138, 1141, 1144, 1147, 1150, 1153, 1156, 1159, 1162, 1165, 1168, 1171, 1174, 1177, 1180, 1182, 1183, 1184, 1185, 1186, 1187, 1188, 1189, 1190, 1191, 1192, 1193, 1194, 1195, 1196, 1197 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:32"
- }
- },
- "_j4.dstack_.wd": {
- "hide_name": 0,
- "bits": [ 1198, 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234, 1237, 1240, 1243, 1246 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:72|../verilog/stack2pipe4.v:9"
- }
- },
- "_j4.insn": {
- "hide_name": 0,
- "bits": [ 2726, 2729, 2732, 2735, 2738, 2741, 2744, 2747, 2750, 2753, 2756, 2759, 2762, 2765, 2768, 2771 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:18"
- }
- },
- "_j4.insn_now": {
- "hide_name": 0,
- "bits": [ 423, 428, 1253, 1257, 1203, 54, 55, 2251, 2266, 2269, 2272, 2275, 2278, 62, 63, 60 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:48"
- }
- },
- "_j4.io_din": {
- "hide_name": 0,
- "bits": [ 2455, 2475, 2494, 2515, 2531, 2549, 2568, 2583, 2600, 2615, 2628, 2642, 2656, 2670, 2941, 2697 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:15"
- }
- },
- "_j4.io_din_delay": {
- "hide_name": 0,
- "bits": [ 2294, 2350, 2948, 2953, 2368, 2373, 2387, 2395, 2402, 2913, 2419, 2423, 2430, 2440, 2931, 2961, 3158, 3159, 3160, 3161, 3162, 3163, 3164, 3165, 3166, 3167, 3168, 3169, 3170, 3171, 3172, 3173, 3174, 3175, 3176, 3177, 3178, 3179, 3180, 3181, 3182, 3183, 3184, 3185, 3186, 3187, 3188, 3189 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:57"
- }
- },
- "_j4.io_din_now": {
- "hide_name": 0,
- "bits": [ 2294, 2350, 2948, 2953, 2368, 2373, 2387, 2395, 2402, 2913, 2419, 2423, 2430, 2440, 2931, 2961 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:59"
- }
- },
- "_j4.io_rd": {
- "hide_name": 0,
- "bits": [ 2714 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:9"
- }
- },
- "_j4.io_slot": {
- "hide_name": 0,
- "bits": [ 185, 186 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:19"
- }
- },
- "_j4.io_wr": {
- "hide_name": 0,
- "bits": [ 2713 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:10"
- }
- },
- "_j4.kill_slot": {
- "hide_name": 0,
- "bits": [ 2813, 2814, 2811, 2809 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:40"
- }
- },
- "_j4.kill_slot_rq": {
- "hide_name": 0,
- "bits": [ 187, 189, 191, 193 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:21"
- }
- },
- "_j4.mem_addr": {
- "hide_name": 0,
- "bits": [ 1198, 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234, 1237, 1240, 1243, 1246 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:11"
- }
- },
- "_j4.minus": {
- "hide_name": 0,
- "bits": [ 2326, 2333, 2327, 2323, 2324, 2325, 2322, 2330, 2331, 2332, 2336, 2319, 2320, 2321, 2334, 2335, 2315 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:79"
- }
- },
- "_j4.pc": {
- "hide_name": 0,
- "bits": [ 2179, 2178, 2979, 2981, 2983, 2985, 2987, 2989, 2991, 2993, 2973, 2976, 61 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:34"
- }
- },
- "_j4.pcD": {
- "hide_name": 0,
- "bits": [ 3121, 3123, 3125, 3127, 3129, 3131, 3133, 3135, 3137, 3139, 3141, 2725, 3144, 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140, 3142, 3143, 3145, 3146, 3147, 3148, 3149, 3150, 3151, 3152, 3153, 3154, 3155, 3156, 3157 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:35"
- }
- },
- "_j4.pcN": {
- "hide_name": 0,
- "bits": [ 2240, 2253, 2255, 2257, 2259, 2261, 2263, 2265, 2268, 2271, 2274, 2277, 2280 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:34"
- }
- },
- "_j4.pc_plus_1": {
- "hide_name": 0,
- "bits": [ 2174, "x", 2183, 2187, 2191, 2195, 2199, 2203, 2207, 2211, 2215, 2219, 2223 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:37"
- }
- },
- "_j4.resetq": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:7"
- }
- },
- "_j4.return_top": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322 ],
- "attributes": {
- }
- },
- "_j4.rst0": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322, 1327, 1332 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:63"
- }
- },
- "_j4.rstack_.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:5"
- }
- },
- "_j4.rstack_.delay": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322, 1327, 1332, 1250, 1259, 1264, 1269, 1274, 1279, 1284, 1289, 1294, 1299, 1304, 1309, 1314, 1319, 1324, 1329, 1254, 1261, 1266, 1271, 1276, 1281, 1286, 1291, 1296, 1301, 1306, 1311, 1316, 1321, 1326, 1331, 1335, 1338, 1341, 1344, 1347, 1350, 1353, 1356, 1359, 1362, 1365, 1368, 1371, 1374, 1377, 1380, 1383, 1386, 1389, 1392, 1395, 1398, 1401, 1404, 1407, 1410, 1413, 1416, 1419, 1422, 1425, 1428, 1431, 1434, 1437, 1440, 1443, 1446, 1449, 1452, 1455, 1458, 1461, 1464, 1467, 1470, 1473, 1476, 1479, 1482, 1485, 1488, 1491, 1494, 1497, 1500, 1503, 1506, 1509, 1512, 1515, 1518, 1521, 1524, 1527, 1530, 1533, 1536, 1539, 1542, 1545, 1548, 1551, 1554, 1557, 1560, 1563, 1566, 1569, 1572, 1575, 1578, 1581, 1584, 1587, 1590, 1593, 1596, 1599, 1602, 1605, 1608, 1611, 1614, 1617, 1620, 1623, 1626, 1629, 1632, 1635, 1638, 1641, 1644, 1647, 1650, 1653, 1656, 1659, 1662, 1665, 1668, 1671, 1674, 1677, 1680, 1683, 1686, 1689, 1692, 1695, 1698, 1701, 1704, 1707, 1710, 1713, 1716, 1719, 1722, 1725, 1728, 1731, 1734, 1737, 1740, 1743, 1746, 1749, 1752, 1755, 1758, 1761, 1764, 1767, 1770, 1773, 1776, 1779, 1782, 1785, 1788, 1791, 1794, 1797, 1800, 1803, 1806, 1809, 1812, 1815, 1818, 1821, 1824, 1827, 1830, 1833, 1836, 1839, 1842, 1845, 1848, 1851, 1854, 1857, 1860, 1863, 1866, 1869, 1872, 1875, 1878, 1881, 1884, 1887, 1890, 1893, 1896, 1899, 1902, 1905, 1908, 1911, 1914, 1917, 1920, 1923, 1926, 1929, 1932, 1935, 1938, 1941, 1944, 1947, 1950, 1953, 1956, 1959, 1962, 1965, 1968, 1971, 1974, 1977, 1980, 1983, 1986, 1989, 1992, 1995, 1998, 2001, 2004, 2007, 2010, 2013, 2016, 2019, 2022, 2025, 2028, 2031, 2034, 2037, 2040, 2043, 2046, 2049, 2052, 2055, 2058, 2061, 2064, 2067, 2070, 2073, 2076, 2079, 2082, 2085, 2088, 2091, 2094, 2097, 2100, 2103, 2106, 2109, 2112, 2115, 2118, 2121, 2124, 2127, 2130, 2133, 2136, 2139, 2142, 2145, 2148, 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:29"
- }
- },
- "_j4.rstack_.delayN": {
- "hide_name": 0,
- "bits": [ 4400, 4401, 4402, 4403, 4404, 4405, 4406, 4407, 4408, 4409, 4410, 4411, 4412, 4413, 4414, 4415, 4416, 4417, 4418, 4419, 4420, 4421, 4422, 4423, 4424, 4425, 4426, 4427, 4428, 4429, 4430, 4431, 4432, 4433, 4434, 4435, 4436, 4437, 4438, 4439, 4440, 4441, 4442, 4443, 4444, 4445, 4446, 4447, 4448, 4449, 4450, 4451, 4452, 4453, 4454, 4455, 4456, 4457, 4458, 4459, 4460, 4461, 4462, 4463, 4464, 4465, 4466, 4467, 4468, 4469, 4470, 4471, 4472, 4473, 4474, 4475, 4476, 4477, 4478, 4479, 4480, 4481, 4482, 4483, 4484, 4485, 4486, 4487, 4488, 4489, 4490, 4491, 4492, 4493, 4494, 4495, 4496, 4497, 4498, 4499, 4500, 4501, 4502, 4503, 4504, 4505, 4506, 4507, 4508, 4509, 4510, 4511, 4512, 4513, 4514, 4515, 4516, 4517, 4518, 4519, 4520, 4521, 4522, 4523, 4524, 4525, 4526, 4527, 4528, 4529, 4530, 4531, 4532, 4533, 4534, 4535, 4536, 4537, 4538, 4539, 4540, 4541, 4542, 4543, 4544, 4545, 4546, 4547, 4548, 4549, 4550, 4551, 4552, 4553, 4554, 4555, 4556, 4557, 4558, 4559, 4560, 4561, 4562, 4563, 4564, 4565, 4566, 4567, 4568, 4569, 4570, 4571, 4572, 4573, 4574, 4575, 4576, 4577, 4578, 4579, 4580, 4581, 4582, 4583, 4584, 4585, 4586, 4587, 4588, 4589, 4590, 4591, 4592, 4593, 4594, 4595, 4596, 4597, 4598, 4599, 4600, 4601, 4602, 4603, 4604, 4605, 4606, 4607, 4608, 4609, 4610, 4611, 4612, 4613, 4614, 4615, 4616, 4617, 4618, 4619, 4620, 4621, 4622, 4623, 4624, 4625, 4626, 4627, 4628, 4629, 4630, 4631, 4632, 4633, 4634, 4635, 4636, 4637, 4638, 4639, 4640, 4641, 4642, 4643, 4644, 4645, 4646, 4647, 4648, 4649, 4650, 4651, 4652, 4653, 4654, 4655, 4656, 4657, 4658, 4659, 4660, 4661, 4662, 4663, 4664, 4665, 4666, 4667, 4668, 4669, 4670, 4671, 4672, 4673, 4674, 4675, 4676, 4677, 4678, 4679, 4680, 4681, 4682, 4683, 4684, 4685, 4686, 4687, 4688, 4689, 4690, 4691, 4692, 4693, 4694, 4695, 4696, 4697, 4698, 4699, 4700, 4701, 4702, 4703, 4704, 4705, 4706, 4707, 4708, 4709, 4710, 4711, 4712, 4713, 4714, 4715, 4716, 4717, 4718, 4719, 4720, 4721, 4722, 4723, 4724, 4725, 4726, 4727, 4728, 4729, 4730, 4731, 4732, 4733, 4734, 4735, 4736, 4737, 4738, 4739, 4740, 4741, 4742, 4743, 4744, 4745, 4746, 4747, 4748, 4749, 4750, 4751, 4752, 4753, 4754, 4755, 4756, 4757, 4758, 4759, 4760, 4761, 4762, 4763, 4764, 4765, 4766, 4767, 4768, 4769, 4770, 4771, 4772, 4773, 4774, 4775, 4776, 4777, 4778, 4779, 4780, 4781, 4782, 4783, 4784, 4785, 4786, 4787, 4788, 4789, 4790, 4791, 4792, 4793, 4794, 4795, 4796, 4797, 4798, 4799, 4800, 4801, 4802, 4803, 4804, 4805, 4806, 4807, 4808, 4809, 4810, 4811, 4812, 4813, 4814, 4815, 4816, 4817, 4818, 4819, 4820, 4821, 4822, 4823, 4824, 4825, 4826, 4827, 4828, 4829, 4830, 4831, 4832, 4833, 4834, 4835, 4836, 4837, 4838, 4839, 4840, 4841, 4842, 4843, 4844, 4845, 4846, 4847, 4848, 4849, 4850, 4851, 4852, 4853, 4854, 4855, 4856, 4857, 4858, 4859, 4860, 4861, 4862, 4863, 4864, 4865, 4866, 4867, 4868, 4869, 4870, 4871, 4872, 4873, 4874, 4875, 4876, 4877, 4878, 4879, 4880, 4881, 4882, 4883, 4884, 4885, 4886, 4887, 4888, 4889, 4890, 4891, 4892, 4893, 4894, 4895, 4896, 4897, 4898, 4899, 4900, 4901, 4902, 4903, 4904, 4905, 4906, 4907, 4908, 4909, 4910, 4911, 4912, 4913, 4914, 4915, 4916, 4917, 4918, 4919, 4920, 4921, 4922, 4923, 4924, 4925, 4926, 4927, 4928, 4929, 4930, 4931, 4932, 4933, 4934, 4935, 4936, 4937, 4938, 4939, 4940, 4941, 4942, 4943, 4944, 4945, 4946, 4947, 4948, 4949, 4950, 4951, 4952, 4953, 4954, 4955, 4956, 4957, 4958, 4959, 4960, 4961, 4962, 4963, 4964, 4965, 4966, 4967, 4968, 4969, 4970, 4971, 4972, 4973, 4974, 4975, 4976, 4977, 4978, 4979, 4980, 4981, 4982, 4983, 4984, 4985, 4986, 4987, 4988, 4989, 4990, 4991, 4992, 4993, 4994, 4995, 4996, 4997, 4998, 4999, 5000, 5001, 5002, 5003, 5004, 5005, 5006, 5007, 5008, 5009, 5010, 5011, 5012, 5013, 5014, 5015, 5016, 5017, 5018, 5019, 5020, 5021, 5022, 5023, 5024, 5025, 5026, 5027, 5028, 5029, 5030, 5031, 5032, 5033, 5034, 5035, 5036, 5037, 5038, 5039, 5040, 5041, 5042, 5043, 5044, 5045, 5046, 5047, 5048, 5049, 5050, 5051, 5052, 5053, 5054, 5055, 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397, 4398, 4399 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:34"
- }
- },
- "_j4.rstack_.head": {
- "hide_name": 0,
- "bits": [ 5040, 5041, 5042, 5043, 5044, 5045 ],
- "attributes": {
- }
- },
- "_j4.rstack_.headN": {
- "hide_name": 0,
- "bits": [ 2168, 2173, 2177, 2182, 2186, 2190, 2194, 2198, 2202, 2206, 2210, 2214, 2218, 2222, 2225, 2227 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:31"
- }
- },
- "_j4.rstack_.oldhead": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322, 1327, 1332 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:31"
- }
- },
- "_j4.rstack_.oldtail": {
- "hide_name": 0,
- "bits": [ 1250, 1259, 1264, 1269, 1274, 1279, 1284, 1289, 1294, 1299, 1304, 1309, 1314, 1319, 1324, 1329, 1254, 1261, 1266, 1271, 1276, 1281, 1286, 1291, 1296, 1301, 1306, 1311, 1316, 1321, 1326, 1331, 1335, 1338, 1341, 1344, 1347, 1350, 1353, 1356, 1359, 1362, 1365, 1368, 1371, 1374, 1377, 1380, 1383, 1386, 1389, 1392, 1395, 1398, 1401, 1404, 1407, 1410, 1413, 1416, 1419, 1422, 1425, 1428, 1431, 1434, 1437, 1440, 1443, 1446, 1449, 1452, 1455, 1458, 1461, 1464, 1467, 1470, 1473, 1476, 1479, 1482, 1485, 1488, 1491, 1494, 1497, 1500, 1503, 1506, 1509, 1512, 1515, 1518, 1521, 1524, 1527, 1530, 1533, 1536, 1539, 1542, 1545, 1548, 1551, 1554, 1557, 1560, 1563, 1566, 1569, 1572, 1575, 1578, 1581, 1584, 1587, 1590, 1593, 1596, 1599, 1602, 1605, 1608, 1611, 1614, 1617, 1620, 1623, 1626, 1629, 1632, 1635, 1638, 1641, 1644, 1647, 1650, 1653, 1656, 1659, 1662, 1665, 1668, 1671, 1674, 1677, 1680, 1683, 1686, 1689, 1692, 1695, 1698, 1701, 1704, 1707, 1710, 1713, 1716, 1719, 1722, 1725, 1728, 1731, 1734, 1737, 1740, 1743, 1746, 1749, 1752, 1755, 1758, 1761, 1764, 1767, 1770, 1773, 1776, 1779, 1782, 1785, 1788, 1791, 1794, 1797, 1800, 1803, 1806, 1809, 1812, 1815, 1818, 1821, 1824, 1827, 1830, 1833, 1836, 1839, 1842, 1845, 1848, 1851, 1854, 1857, 1860, 1863, 1866, 1869, 1872, 1875, 1878, 1881, 1884, 1887, 1890, 1893, 1896, 1899, 1902, 1905, 1908, 1911, 1914, 1917, 1920, 1923, 1926, 1929, 1932, 1935, 1938, 1941, 1944, 1947, 1950, 1953, 1956, 1959, 1962, 1965, 1968, 1971, 1974, 1977, 1980, 1983, 1986, 1989, 1992, 1995, 1998, 2001, 2004, 2007, 2010, 2013, 2016, 2019, 2022, 2025, 2028, 2031, 2034, 2037, 2040, 2043, 2046, 2049, 2052, 2055, 2058, 2061, 2064, 2067, 2070, 2073, 2076, 2079, 2082, 2085, 2088, 2091, 2094, 2097, 2100, 2103, 2106, 2109, 2112, 2115, 2118, 2121, 2124, 2127, 2130, 2133, 2136, 2139, 2142, 2145, 2148 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:32"
- }
- },
- "_j4.rstack_.rd": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322, 1327, 1332 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:6"
- }
- },
- "_j4.rstack_.tail": {
- "hide_name": 0,
- "bits": [ 4096, 4097, 4098, 4099, 4100, 4101, 4102, 4103, 4104, 4105, 4106, 4107, 4108, 4109, 4110, 4111, 4112, 4113, 4114, 4115, 4116, 4117, 4118, 4119, 4120, 4121, 4122, 4123, 4124, 4125, 4126, 4127, 4128, 4129, 4130, 4131, 4132, 4133, 4134, 4135, 4136, 4137, 4138, 4139, 4140, 4141, 4142, 4143, 4144, 4145, 4146, 4147, 4148, 4149, 4150, 4151, 4152, 4153, 4154, 4155, 4156, 4157, 4158, 4159, 4160, 4161, 4162, 4163, 4164, 4165, 4166, 4167, 4168, 4169, 4170, 4171, 4172, 4173, 4174, 4175, 4176, 4177, 4178, 4179, 4180, 4181, 4182, 4183, 4184, 4185, 4186, 4187, 4188, 4189, 4190, 4191, 4192, 4193, 4194, 4195, 4196, 4197, 4198, 4199, 4200, 4201, 4202, 4203, 4204, 4205, 4206, 4207, 4208, 4209, 4210, 4211, 4212, 4213, 4214, 4215, 4216, 4217, 4218, 4219, 4220, 4221, 4222, 4223, 4224, 4225, 4226, 4227, 4228, 4229, 4230, 4231, 4232, 4233, 4234, 4235, 4236, 4237, 4238, 4239, 4240, 4241, 4242, 4243, 4244, 4245, 4246, 4247, 4248, 4249, 4250, 4251, 4252, 4253, 4254, 4255, 4256, 4257, 4258, 4259, 4260, 4261, 4262, 4263, 4264, 4265, 4266, 4267, 4268, 4269, 4270, 4271, 4272, 4273, 4274, 4275, 4276, 4277, 4278, 4279, 4280, 4281, 4282, 4283, 4284, 4285, 4286, 4287, 4288, 4289, 4290, 4291, 4292, 4293, 4294, 4295, 4296, 4297, 4298, 4299, 4300, 4301, 4302, 4303, 4304, 4305, 4306, 4307, 4308, 4309, 4310, 4311, 4312, 4313, 4314, 4315, 4316, 4317, 4318, 4319, 4320, 4321, 4322, 4323, 4324, 4325, 4326, 4327, 4328, 4329, 4330, 4331, 4332, 4333, 4334, 4335, 4336, 4337, 4338, 4339, 4340, 4341, 4342, 4343, 4344, 4345, 4346, 4347, 4348, 4349, 4350, 4351, 4352, 4353, 4354, 4355, 4356, 4357, 4358, 4359, 4360, 4361, 4362, 4363, 4364, 4365, 4366, 4367, 4368, 4369, 4370, 4371, 4372, 4373, 4374, 4375, 4376, 4377, 4378, 4379, 4380, 4381, 4382, 4383, 4384, 4385, 4386, 4387, 4388, 4389, 4390, 4391, 4392, 4393, 4394, 4395, 4396, 4397 ],
- "attributes": {
- }
- },
- "_j4.rstack_.tailN": {
- "hide_name": 0,
- "bits": [ 1252, 1260, 1265, 1270, 1275, 1280, 1285, 1290, 1295, 1300, 1305, 1310, 1315, 1320, 1325, 1330, 1334, 1337, 1340, 1343, 1346, 1349, 1352, 1355, 1358, 1361, 1364, 1367, 1370, 1373, 1376, 1379, 1382, 1385, 1388, 1391, 1394, 1397, 1400, 1403, 1406, 1409, 1412, 1415, 1418, 1421, 1424, 1427, 1430, 1433, 1436, 1439, 1442, 1445, 1448, 1451, 1454, 1457, 1460, 1463, 1466, 1469, 1472, 1475, 1478, 1481, 1484, 1487, 1490, 1493, 1496, 1499, 1502, 1505, 1508, 1511, 1514, 1517, 1520, 1523, 1526, 1529, 1532, 1535, 1538, 1541, 1544, 1547, 1550, 1553, 1556, 1559, 1562, 1565, 1568, 1571, 1574, 1577, 1580, 1583, 1586, 1589, 1592, 1595, 1598, 1601, 1604, 1607, 1610, 1613, 1616, 1619, 1622, 1625, 1628, 1631, 1634, 1637, 1640, 1643, 1646, 1649, 1652, 1655, 1658, 1661, 1664, 1667, 1670, 1673, 1676, 1679, 1682, 1685, 1688, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1718, 1721, 1724, 1727, 1730, 1733, 1736, 1739, 1742, 1745, 1748, 1751, 1754, 1757, 1760, 1763, 1766, 1769, 1772, 1775, 1778, 1781, 1784, 1787, 1790, 1793, 1796, 1799, 1802, 1805, 1808, 1811, 1814, 1817, 1820, 1823, 1826, 1829, 1832, 1835, 1838, 1841, 1844, 1847, 1850, 1853, 1856, 1859, 1862, 1865, 1868, 1871, 1874, 1877, 1880, 1883, 1886, 1889, 1892, 1895, 1898, 1901, 1904, 1907, 1910, 1913, 1916, 1919, 1922, 1925, 1928, 1931, 1934, 1937, 1940, 1943, 1946, 1949, 1952, 1955, 1958, 1961, 1964, 1967, 1970, 1973, 1976, 1979, 1982, 1985, 1988, 1991, 1994, 1997, 2000, 2003, 2006, 2009, 2012, 2015, 2018, 2021, 2024, 2027, 2030, 2033, 2036, 2039, 2042, 2045, 2048, 2051, 2054, 2057, 2060, 2063, 2066, 2069, 2072, 2075, 2078, 2081, 2084, 2087, 2090, 2093, 2096, 2099, 2102, 2105, 2108, 2111, 2114, 2117, 2120, 2123, 2126, 2129, 2132, 2135, 2138, 2141, 2144, 2147, 2150, 2151, 2152, 2153, 2154, 2155, 2156, 2157, 2158, 2159, 2160, 2161, 2162, 2163, 2164, 2165 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:73|../verilog/stack2pipe4.v:32"
- }
- },
- "_j4.slot": {
- "hide_name": 0,
- "bits": [ 185, 186 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:23"
- }
- },
- "_j4.slotN": {
- "hide_name": 0,
- "bits": [ 2802, 185 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:23"
- }
- },
- "_j4.st0": {
- "hide_name": 0,
- "bits": [ 1198, 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234, 1237, 1240, 1243, 1246 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:30"
- }
- },
- "_j4.st0D": {
- "hide_name": 0,
- "bits": [ 3073, 3075, 3077, 3079, 3081, 3083, 3085, 3087, 3089, 3091, 3093, 3095, 3097, 3099, 3101, 3103, 3072, 3074, 3076, 3078, 3080, 3082, 3084, 3086, 3088, 3090, 3092, 3094, 3096, 3098, 3100, 3102, 3104, 3105, 3106, 3107, 3108, 3109, 3110, 3111, 3112, 3113, 3114, 3115, 3116, 3117, 3118, 3119 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:31"
- }
- },
- "_j4.st0N": {
- "hide_name": 0,
- "bits": [ 2945, 2340, 2950, 2955, 2904, 2909, 2381, 2392, 2959, 2916, 2415, 2920, 2924, 2929, 2934, 2963 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:30"
- }
- },
- "_j4.st1": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:63"
- }
- },
- "_j4.tc.last": {
- "hide_name": 0,
- "bits": [ 185, 186 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:25|../verilog/greycount.v:2"
- }
- },
- "_j4.tc.next": {
- "hide_name": 0,
- "bits": [ 2802, 185 ],
- "attributes": {
- "src": "j4a.v:216|../verilog/j4.v:25|../verilog/greycount.v:2"
- }
- },
- "_leds.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:66"
- }
- },
- "_leds.din": {
- "hide_name": 0,
- "bits": [ 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 390, 392, 394, 396, 398 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:75"
- }
- },
- "_leds.dout": {
- "hide_name": 0,
- "bits": [ 369, 371, 373, 375, 377, 379, 381, 383, 385, 387, 389, 391, 393, 395, 397, 399 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:77"
- }
- },
- "_leds.pins": {
- "hide_name": 0,
- "bits": [ 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:67"
- }
- },
- "_leds.rd": {
- "hide_name": 0,
- "bits": [ 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 390, 392, 394, 396, 398 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:70"
- }
- },
- "_leds.wd": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:69"
- }
- },
- "_leds.we": {
- "hide_name": 0,
- "bits": [ 2773 ],
- "attributes": {
- "src": "j4a.v:359|j4a.v:68"
- }
- },
- "_mod.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:66"
- }
- },
- "_mod.din": {
- "hide_name": 0,
- "bits": [ 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 362, 364, 366 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:75"
- }
- },
- "_mod.dir": {
- "hide_name": 0,
- "bits": [ 2470, 2481, 2510, 2526, 2541, 2564, 2577, 2592, 2609, 2621, 2638, 2653, 2668, 2679, 2692, 2705 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:71"
- }
- },
- "_mod.dout": {
- "hide_name": 0,
- "bits": [ 337, 339, 341, 343, 345, 347, 349, 351, 353, 355, 357, 359, 361, 363, 365, 367 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:77"
- }
- },
- "_mod.pins": {
- "hide_name": 0,
- "bits": [ 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:67",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "_mod.rd": {
- "hide_name": 0,
- "bits": [ 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 362, 364, 366 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:70"
- }
- },
- "_mod.wd": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:69"
- }
- },
- "_mod.we": {
- "hide_name": 0,
- "bits": [ 2772 ],
- "attributes": {
- "src": "j4a.v:266|j4a.v:68"
- }
- },
- "_rcxd.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:339|j4a.v:111"
- }
- },
- "_rcxd.pin": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "j4a.v:339|j4a.v:112",
- "unused_bits": "0"
- }
- },
- "_rcxd.rd": {
- "hide_name": 0,
- "bits": [ 2775 ],
- "attributes": {
- "src": "j4a.v:339|j4a.v:113"
- }
- },
- "_spi.MISO": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:10",
- "unused_bits": "0"
- }
- },
- "_spi.MISO_": {
- "hide_name": 0,
- "bits": [ 3277 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:19"
- }
- },
- "_spi.MOSI": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:8"
- }
- },
- "_spi.SCL": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:9"
- }
- },
- "_spi.SCL_": {
- "hide_name": 0,
- "bits": [ 149 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:18"
- }
- },
- "_spi.both": {
- "hide_name": 0,
- "bits": [ 280 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:4"
- }
- },
- "_spi.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:2"
- }
- },
- "_spi.datain": {
- "hide_name": 0,
- "bits": [ 2465, 2478, 2509, 2523, 2538, 2553, 2578, 2595, 2610, 2622, 2637, 2654, 2666, 2681, 2691, 2706 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:28"
- }
- },
- "_spi.dataout": {
- "hide_name": 0,
- "bits": [ 298, 300, 302, 304, 306, 308, 310, 312, 315, 318, 321, 324, 327, 330, 333, 3269 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:15"
- }
- },
- "_spi.ince": {
- "hide_name": 0,
- "bits": [ 2785 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:20"
- }
- },
- "_spi.running": {
- "hide_name": 0,
- "bits": [ 148 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:7"
- }
- },
- "_spi.rx": {
- "hide_name": 0,
- "bits": [ 2465, 2478, 2509, 2523, 2538, 2553, 2578, 2595, 2610, 2622, 2637, 2654, 2666, 2681, 2691, 2706 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:6"
- }
- },
- "_spi.sdelay": {
- "hide_name": 0,
- "bits": [ 282, 284, 286, 288, 290, 292, 294, 3270, 3271, 3272, 3273, 3274, 3275, 3276, 296, 148 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:12"
- }
- },
- "_spi.tx": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:288|../verilog/spimaster.v:5"
- }
- },
- "_spi2.MISO": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:10",
- "unused_bits": "0"
- }
- },
- "_spi2.MISO_": {
- "hide_name": 0,
- "bits": [ 3268 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:24"
- }
- },
- "_spi2.MOSI": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:8"
- }
- },
- "_spi2.SCL": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:9"
- }
- },
- "_spi2.SCL_": {
- "hide_name": 0,
- "bits": [ 144 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:21"
- }
- },
- "_spi2.both": {
- "hide_name": 0,
- "bits": [ 232 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:4"
- }
- },
- "_spi2.capturing": {
- "hide_name": 0,
- "bits": [ 146 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:14"
- }
- },
- "_spi2.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:2"
- }
- },
- "_spi2.datain": {
- "hide_name": 0,
- "bits": [ 2607, 2623, 2640, 2652, 2667, 2682, 2690, 2704, 2469, 2484, 2511, 2524, 2540, 2563, 2575, 2593 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:32"
- }
- },
- "_spi2.dataout": {
- "hide_name": 0,
- "bits": [ 250, 252, 254, 256, 258, 260, 262, 264, 266, 268, 270, 272, 274, 276, 278, 3260 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:18"
- }
- },
- "_spi2.go": {
- "hide_name": 0,
- "bits": [ 140 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:13"
- }
- },
- "_spi2.rx": {
- "hide_name": 0,
- "bits": [ 2469, 2484, 2511, 2524, 2540, 2563, 2575, 2593, 2607, 2623, 2640, 2652, 2667, 2682, 2690, 2704 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:6"
- }
- },
- "_spi2.sdelay": {
- "hide_name": 0,
- "bits": [ 234, 236, 238, 240, 242, 244, 246, 3261, 3262, 3263, 3264, 3265, 3266, 3267, 248, 140 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:12"
- }
- },
- "_spi2.slower": {
- "hide_name": 0,
- "bits": [ 143 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:22"
- }
- },
- "_spi2.tx": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:300|../verilog/spimaster_le.v:5"
- }
- },
- "_spi3.CS": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:4",
- "unused_bits": "0"
- }
- },
- "_spi3.CS_": {
- "hide_name": 0,
- "bits": [ 130 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:9"
- }
- },
- "_spi3.MOSI": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:6",
- "unused_bits": "0"
- }
- },
- "_spi3.MOSI_": {
- "hide_name": 0,
- "bits": [ 3243 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:19"
- }
- },
- "_spi3.SCL": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:5",
- "unused_bits": "0"
- }
- },
- "_spi3.SCL_": {
- "hide_name": 0,
- "bits": [ 3242 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:15"
- }
- },
- "_spi3.bitcount": {
- "hide_name": 0,
- "bits": [ 129, 132, 133, 134 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:25"
- }
- },
- "_spi3.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:2"
- }
- },
- "_spi3.dCS_": {
- "hide_name": 0,
- "bits": [ 136 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:28"
- }
- },
- "_spi3.datain": {
- "hide_name": 0,
- "bits": [ 3244, 3245, 3246, 3247, 3248, 3249, 3250, 3251, 3252, 3253, 3254, 3255, 3256, 3257, 3258, 3259 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:27"
- }
- },
- "_spi3.dcap": {
- "hide_name": 0,
- "bits": [ 3210, 3212, 3214, 3216, 3218, 3220, 3222, 3224, 3226, 3228, 3230, 3232, 3234, 3236, 3238, 3240 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:26"
- }
- },
- "_spi3.gotd": {
- "hide_name": 0,
- "bits": [ 3191 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:52"
- }
- },
- "_spi3.rx": {
- "hide_name": 0,
- "bits": [ 2472, 2490, 2507, 2527, 2539, 2555, 2576, 2587, 2608, 2618, 2639, 2651, 2665, 2680, 2686, 2707 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:3"
- }
- },
- "_spi3.sync": {
- "hide_name": 0,
- "bits": [ 3211, 3213, 3215, 3217, 3219, 3221, 3223, 3225, 3227, 3229, 3231, 3233, 3235, 3237, 3239, 3241 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:53"
- }
- },
- "_spi3.syncd": {
- "hide_name": 0,
- "bits": [ 3190, 3192, 3193, 3194, 3195, 3196, 3197, 3198, 3199, 3200, 3201, 3202, 3203, 3204, 3205, 3206 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:53"
- }
- },
- "_spi3.syncw": {
- "hide_name": 0,
- "bits": [ 3208, 3209 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:51"
- }
- },
- "_spi3.write": {
- "hide_name": 0,
- "bits": [ 3207 ],
- "attributes": {
- "src": "j4a.v:311|../verilog/spislaverx.v:28"
- }
- },
- "_uart0._rx._baudgen.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:30"
- }
- },
- "_uart0._rx._baudgen.counter": {
- "hide_name": 0,
- "bits": [ 178, 177, 179, 180, 181, 182 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:37"
- }
- },
- "_uart0._rx._baudgen.restart": {
- "hide_name": 0,
- "bits": [ 164 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:126|uart.v:31"
- }
- },
- "_uart0._rx.bitcount": {
- "hide_name": 0,
- "bits": [ 166, 169, 167, 168, 170 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:106"
- }
- },
- "_uart0._rx.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:100"
- }
- },
- "_uart0._rx.data": {
- "hide_name": 0,
- "bits": [ 2458, 2487, 2508, 2528, 2542, 2557, 2579, 2594 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:105"
- }
- },
- "_uart0._rx.hh": {
- "hide_name": 0,
- "bits": [ "x", 2777, "x" ],
- "attributes": {
- "init": 4,
- "src": "j4a.v:340|uart.v:172|uart.v:119"
- }
- },
- "_uart0._rx.hhN": {
- "hide_name": 0,
- "bits": [ 2775, "x", 2777 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:120"
- }
- },
- "_uart0._rx.sample": {
- "hide_name": 0,
- "bits": [ 2716 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:118"
- }
- },
- "_uart0._rx.shifter": {
- "hide_name": 0,
- "bits": [ 2458, 2487, 2508, 2528, 2542, 2557, 2579, 2594 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:107"
- }
- },
- "_uart0._rx.shifterN": {
- "hide_name": 0,
- "bits": [ 2487, 2508, 2528, 2542, 2557, 2579, 2594, 2777 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:122"
- }
- },
- "_uart0._rx.startbit": {
- "hide_name": 0,
- "bits": [ 164 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:121"
- }
- },
- "_uart0._rx.uart_rx": {
- "hide_name": 0,
- "bits": [ 2775 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:172|uart.v:102"
- }
- },
- "_uart0._tx._baudgen.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:10"
- }
- },
- "_uart0._tx._baudgen.counter": {
- "hide_name": 0,
- "bits": [ 70, 72, 73, 67, 68, 74, 75 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:16"
- }
- },
- "_uart0._tx._baudgen.ser_clk": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:76|uart.v:11"
- }
- },
- "_uart0._tx.bitcount": {
- "hide_name": 0,
- "bits": [ 159, 156, 158, 157 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:68"
- }
- },
- "_uart0._tx.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:59"
- }
- },
- "_uart0._tx.ser_clk": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:74"
- }
- },
- "_uart0._tx.shifter": {
- "hide_name": 0,
- "bits": [ 3279, 3278, 400, 402, 404, 406, 408, 410, 412 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:69"
- }
- },
- "_uart0._tx.uart_dat_i": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:66"
- }
- },
- "_uart0._tx.uart_tx": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:63"
- }
- },
- "_uart0._tx.uart_wr_i": {
- "hide_name": 0,
- "bits": [ 66 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:179|uart.v:65"
- }
- },
- "_uart0.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:161"
- }
- },
- "_uart0.rx": {
- "hide_name": 0,
- "bits": [ 2775 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:163"
- }
- },
- "_uart0.rx_data": {
- "hide_name": 0,
- "bits": [ 2458, 2487, 2508, 2528, 2542, 2557, 2579, 2594 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:170"
- }
- },
- "_uart0.tx": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:164"
- }
- },
- "_uart0.tx_data": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:169"
- }
- },
- "_uart0.wr": {
- "hide_name": 0,
- "bits": [ 66 ],
- "attributes": {
- "src": "j4a.v:340|uart.v:166"
- }
- },
- "boot": {
- "hide_name": 0,
- "bits": [ 3056 ],
- "attributes": {
- "src": "j4a.v:467"
- }
- },
- "c11": {
- "hide_name": 0,
- "bits": [ 2725 ],
- "attributes": {
- "src": "../build/ram.v:405"
- }
- },
- "clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:181"
- }
- },
- "cm": {
- "hide_name": 0,
- "bits": [ 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725, 2725 ],
- "attributes": {
- "src": "../build/ram.v:407"
- }
- },
- "code_addr": {
- "hide_name": 0,
- "bits": [ 3120, 3122, 3124, 3126, 3128, 3130, 3132, 3134, 3136, 3138, 3140, 3142, 3143 ],
- "attributes": {
- "src": "j4a.v:206"
- }
- },
- "dout": {
- "hide_name": 0,
- "bits": [ 433, 438, 443, 448, 453, 458, 463, 468, 473, 478, 483, 488, 493, 498, 503, 508 ],
- "attributes": {
- "src": "j4a.v:204"
- }
- },
- "dout_": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:248"
- }
- },
- "insn": {
- "hide_name": 0,
- "bits": [ 2726, 2729, 2732, 2735, 2738, 2741, 2744, 2747, 2750, 2753, 2756, 2759, 2762, 2765, 2768, 2771 ],
- "attributes": {
- "src": "../build/ram.v:3"
- }
- },
- "insn0": {
- "hide_name": 0,
- "bits": [ 2724, 2727, 2730, 2733, 2736, 2739, 2742, 2745, 2748, 2751, 2754, 2757, 2760, 2763, 2766, 2769 ],
- "attributes": {
- "src": "../build/ram.v:2"
- }
- },
- "insn1": {
- "hide_name": 0,
- "bits": [ 2723, 2728, 2731, 2734, 2737, 2740, 2743, 2746, 2749, 2752, 2755, 2758, 2761, 2764, 2767, 2770 ],
- "attributes": {
- "src": "../build/ram.v:2"
- }
- },
- "io_addr_": {
- "hide_name": 0,
- "bits": [ 2464, 97, 2460, 2471, 142, 232, 153, 280, 195, 80, 90, 2708, 65, 2456, 84, 76 ],
- "attributes": {
- "src": "j4a.v:249"
- }
- },
- "io_din": {
- "hide_name": 0,
- "bits": [ 2455, 2475, 2494, 2515, 2531, 2549, 2568, 2583, 2600, 2615, 2628, 2642, 2656, 2670, 2941, 2697 ],
- "attributes": {
- "src": "j4a.v:205"
- }
- },
- "io_rd": {
- "hide_name": 0,
- "bits": [ 2714 ],
- "attributes": {
- "src": "j4a.v:201"
- }
- },
- "io_rd_": {
- "hide_name": 0,
- "bits": [ 85 ],
- "attributes": {
- "src": "j4a.v:247"
- }
- },
- "io_slot": {
- "hide_name": 0,
- "bits": [ 185, 186 ],
- "attributes": {
- "src": "j4a.v:209"
- }
- },
- "io_slot_": {
- "hide_name": 0,
- "bits": [ 86, 87 ],
- "attributes": {
- "src": "j4a.v:250"
- }
- },
- "io_wr": {
- "hide_name": 0,
- "bits": [ 2713 ],
- "attributes": {
- "src": "j4a.v:201"
- }
- },
- "io_wr_": {
- "hide_name": 0,
- "bits": [ 64 ],
- "attributes": {
- "src": "j4a.v:247"
- }
- },
- "iomask_preset[0]": {
- "hide_name": 0,
- "bits": [ 2820, 2825, 2830, 2835, 2840, 2845, 2850, 2855, 2860, 2865, 2870, 2875, 2880, 2885, 2890, 2895 ],
- "attributes": {
- }
- },
- "iomask_preset[1]": {
- "hide_name": 0,
- "bits": [ 2821, 2826, 2831, 2836, 2841, 2846, 2851, 2856, 2861, 2866, 2871, 2876, 2881, 2886, 2891, 2896 ],
- "attributes": {
- }
- },
- "iomask_preset[2]": {
- "hide_name": 0,
- "bits": [ 2817, 2822, 2827, 2832, 2837, 2842, 2847, 2852, 2857, 2862, 2867, 2872, 2877, 2882, 2887, 2892 ],
- "attributes": {
- }
- },
- "iomask_preset[3]": {
- "hide_name": 0,
- "bits": [ 2818, 2823, 2828, 2833, 2838, 2843, 2848, 2853, 2858, 2863, 2868, 2873, 2878, 2883, 2888, 2893 ],
- "attributes": {
- }
- },
- "kill_slot_rq": {
- "hide_name": 0,
- "bits": [ 187, 189, 191, 193 ],
- "attributes": {
- "src": "j4a.v:211"
- }
- },
- "masked_pmod_dir": {
- "hide_name": 0,
- "bits": [ 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230 ],
- "attributes": {
- "src": "j4a.v:275"
- }
- },
- "mem_addr": {
- "hide_name": 0,
- "bits": [ 1198, 1204, 1207, 1210, 1213, 1216, 1219, 1222, 1225, 1228, 1231, 1234, 1237, 1240, 1243, 1246 ],
- "attributes": {
- "src": "j4a.v:202"
- }
- },
- "pclk": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "j4a.v:121"
- }
- },
- "pio0.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:370|j4a.v:96"
- }
- },
- "pio0.pin": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "j4a.v:370|j4a.v:97"
- }
- },
- "pio0.rd": {
- "hide_name": 0,
- "bits": [ 2525 ],
- "attributes": {
- "src": "j4a.v:370|j4a.v:100"
- }
- },
- "pio0.wd": {
- "hide_name": 0,
- "bits": [ 200 ],
- "attributes": {
- "src": "j4a.v:370|j4a.v:99"
- }
- },
- "pio0.we": {
- "hide_name": 0,
- "bits": [ 2774 ],
- "attributes": {
- "src": "j4a.v:370|j4a.v:98"
- }
- },
- "pio1.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:371|j4a.v:96"
- }
- },
- "pio1.pin": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "j4a.v:371|j4a.v:97"
- }
- },
- "pio1.rd": {
- "hide_name": 0,
- "bits": [ 2543 ],
- "attributes": {
- "src": "j4a.v:371|j4a.v:100"
- }
- },
- "pio1.wd": {
- "hide_name": 0,
- "bits": [ 202 ],
- "attributes": {
- "src": "j4a.v:371|j4a.v:99"
- }
- },
- "pio1.we": {
- "hide_name": 0,
- "bits": [ 2774 ],
- "attributes": {
- "src": "j4a.v:371|j4a.v:98"
- }
- },
- "pio2.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:372|j4a.v:96"
- }
- },
- "pio2.pin": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "j4a.v:372|j4a.v:97"
- }
- },
- "pio2.rd": {
- "hide_name": 0,
- "bits": [ 2561 ],
- "attributes": {
- "src": "j4a.v:372|j4a.v:100"
- }
- },
- "pio2.wd": {
- "hide_name": 0,
- "bits": [ 204 ],
- "attributes": {
- "src": "j4a.v:372|j4a.v:99"
- }
- },
- "pio2.we": {
- "hide_name": 0,
- "bits": [ 2774 ],
- "attributes": {
- "src": "j4a.v:372|j4a.v:98"
- }
- },
- "pmod_dir": {
- "hide_name": 0,
- "bits": [ 2470, 2481, 2510, 2526, 2541, 2564, 2577, 2592, 2609, 2621, 2638, 2653, 2668, 2679, 2692, 2705 ],
- "attributes": {
- "src": "j4a.v:263"
- }
- },
- "pmod_in": {
- "hide_name": 0,
- "bits": [ 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 362, 364, 366 ],
- "attributes": {
- "src": "j4a.v:264"
- }
- },
- "reset": {
- "hide_name": 0,
- "bits": [ 53 ],
- "attributes": {
- "src": "j4a.v:147"
- }
- },
- "resetq": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "j4a.v:182"
- }
- },
- "return_top": {
- "hide_name": 0,
- "bits": [ 1255, 1262, 1267, 1272, 1277, 1282, 1287, 1292, 1297, 1302, 1307, 1312, 1317, 1322 ],
- "attributes": {
- }
- },
- "s0": {
- "hide_name": 0,
- "bits": [ 3055 ],
- "attributes": {
- "src": "j4a.v:467"
- }
- },
- "s1": {
- "hide_name": 0,
- "bits": [ 3054 ],
- "attributes": {
- "src": "j4a.v:467"
- }
- },
- "sCS": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "j4a.v:142"
- }
- },
- "sMOSI": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "j4a.v:144"
- }
- },
- "sSCL": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "j4a.v:143"
- }
- },
- "spirunning": {
- "hide_name": 0,
- "bits": [ 148 ],
- "attributes": {
- "src": "j4a.v:287"
- }
- },
- "spirx": {
- "hide_name": 0,
- "bits": [ 2465, 2478, 2509, 2523, 2538, 2553, 2578, 2595, 2610, 2622, 2637, 2654, 2666, 2681, 2691, 2706 ],
- "attributes": {
- "src": "j4a.v:286"
- }
- },
- "spirx2": {
- "hide_name": 0,
- "bits": [ 2469, 2484, 2511, 2524, 2540, 2563, 2575, 2593, 2607, 2623, 2640, 2652, 2667, 2682, 2690, 2704 ],
- "attributes": {
- "src": "j4a.v:298"
- }
- },
- "spislaverxd": {
- "hide_name": 0,
- "bits": [ 2472, 2490, 2507, 2527, 2539, 2555, 2576, 2587, 2608, 2618, 2639, 2651, 2665, 2680, 2686, 2707 ],
- "attributes": {
- "src": "j4a.v:310"
- }
- },
- "spower": {
- "hide_name": 0,
- "bits": [ 50, 51, 52 ],
- "attributes": {
- "src": "j4a.v:145"
- }
- },
- "spowerpin0.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:313|j4a.v:96"
- }
- },
- "spowerpin0.pin": {
- "hide_name": 0,
- "bits": [ 50 ],
- "attributes": {
- "src": "j4a.v:313|j4a.v:97"
- }
- },
- "spowerpin0.rd": {
- "hide_name": 0,
- "bits": [ 5280 ],
- "attributes": {
- "src": "j4a.v:313|j4a.v:100",
- "unused_bits": "0"
- }
- },
- "spowerpin1.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:314|j4a.v:96"
- }
- },
- "spowerpin1.pin": {
- "hide_name": 0,
- "bits": [ 51 ],
- "attributes": {
- "src": "j4a.v:314|j4a.v:97"
- }
- },
- "spowerpin1.rd": {
- "hide_name": 0,
- "bits": [ 5281 ],
- "attributes": {
- "src": "j4a.v:314|j4a.v:100",
- "unused_bits": "0"
- }
- },
- "spowerpin2.clk": {
- "hide_name": 0,
- "bits": [ 3053 ],
- "attributes": {
- "src": "j4a.v:315|j4a.v:96"
- }
- },
- "spowerpin2.pin": {
- "hide_name": 0,
- "bits": [ 52 ],
- "attributes": {
- "src": "j4a.v:315|j4a.v:97"
- }
- },
- "spowerpin2.rd": {
- "hide_name": 0,
- "bits": [ 5282 ],
- "attributes": {
- "src": "j4a.v:315|j4a.v:100",
- "unused_bits": "0"
- }
- },
- "taskexecn": {
- "hide_name": 0,
- "bits": [ 198, 2491, 2500, 2517, 2544, 2562, 2580, 2584, 2611, 2625, 2629, 2646, 2660, 2674, 2683, 2699, 88, 2485, 2495, 2516, 2545, 2556, 2569, 2596, 2598, 2626, 2632, 2647, 2657, 2675, 2684, 2700, 94, 2486, 2502, 2518, 2532, 2550, 2581, 2597, 2601, 2624, 2633, 2643, 2661, 2671, 2938, 2698 ],
- "attributes": {
- "src": "j4a.v:438"
- }
- },
- "uart0_data": {
- "hide_name": 0,
- "bits": [ 2458, 2487, 2508, 2528, 2542, 2557, 2579, 2594 ],
- "attributes": {
- "src": "j4a.v:335"
- }
- },
- "uart0_wr": {
- "hide_name": 0,
- "bits": [ 66 ],
- "attributes": {
- "src": "j4a.v:336"
- }
- },
- "uart_RXD": {
- "hide_name": 0,
- "bits": [ 2775 ],
- "attributes": {
- "src": "j4a.v:338"
- }
- },
- "unlocked": {
- "hide_name": 0,
- "bits": [ 2710 ],
- "attributes": {
- "src": "j4a.v:207"
- }
- },
- "w8": {
- "hide_name": 0,
- "bits": [ 2774 ],
- "attributes": {
- "src": "j4a.v:368"
- }
- }
- }
- }
- }
-}