aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0072/top.json
diff options
context:
space:
mode:
Diffstat (limited to 'ice40/regressions/issue0072/top.json')
-rw-r--r--ice40/regressions/issue0072/top.json28292
1 files changed, 0 insertions, 28292 deletions
diff --git a/ice40/regressions/issue0072/top.json b/ice40/regressions/issue0072/top.json
deleted file mode 100644
index 8d0ab81..0000000
--- a/ice40/regressions/issue0072/top.json
+++ /dev/null
@@ -1,28292 +0,0 @@
-{
- "creator": "Yosys 0.8+147 (git sha1 266511b2, clang 6.0.0-1ubuntu2 -fPIC -Os)",
- "modules": {
- "ICESTORM_LC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
- },
- "ports": {
- "I0": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "CIN": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "CEN": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SR": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LO": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "COUT": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CEN": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CIN": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "COUT": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- },
- "LO": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
- }
- },
- "SR": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
- }
- }
- }
- },
- "SB_CARRY": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- },
- "ports": {
- "CO": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CI": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
- }
- }
- }
- },
- "SB_DFF": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
- }
- }
- }
- },
- "SB_DFFE": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
- }
- }
- }
- },
- "SB_DFFER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
- }
- }
- }
- },
- "SB_DFFES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
- }
- }
- }
- },
- "SB_DFFESR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
- }
- }
- }
- },
- "SB_DFFESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
- }
- }
- }
- },
- "SB_DFFN": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
- }
- }
- }
- },
- "SB_DFFNE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
- }
- }
- }
- },
- "SB_DFFNER": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
- }
- }
- }
- },
- "SB_DFFNES": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
- }
- }
- }
- },
- "SB_DFFNESR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
- }
- }
- }
- },
- "SB_DFFNESS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "E": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "E": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
- }
- }
- }
- },
- "SB_DFFNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
- }
- }
- }
- },
- "SB_DFFNS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
- }
- }
- }
- },
- "SB_DFFNSR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
- }
- }
- }
- },
- "SB_DFFNSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
- }
- }
- }
- },
- "SB_DFFR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
- }
- }
- }
- },
- "SB_DFFS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
- }
- }
- }
- },
- "SB_DFFSR": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "R": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- },
- "R": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
- }
- }
- }
- },
- "SB_DFFSS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- },
- "ports": {
- "Q": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 5 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "C": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "Q": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- },
- "S": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
- }
- }
- }
- },
- "SB_FILTER_50NS": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
- },
- "ports": {
- "FILTERIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "FILTEROUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "FILTERIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
- }
- },
- "FILTEROUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
- }
- }
- }
- },
- "SB_GB": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
- },
- "ports": {
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
- }
- },
- "USER_SIGNAL_TO_GLOBAL_BUFFER": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
- }
- }
- }
- },
- "SB_GB_IO": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 12 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
- }
- },
- "GLOBAL_BUFFER_OUTPUT": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
- }
- }
- }
- },
- "SB_HFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
- },
- "ports": {
- "CLKHFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKHFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKHF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKHF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
- }
- },
- "CLKHFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
- }
- },
- "CLKHFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
- }
- }
- }
- },
- "SB_I2C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "SCLI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SDAI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 23 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 24 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "I2CIRQ": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "I2CWKUP": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SCLO": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SCLOE": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SDAO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SDAOE": {
- "direction": "output",
- "bits": [ 37 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I2CIRQ": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
- }
- },
- "I2CWKUP": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
- }
- },
- "SCLI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
- }
- },
- "SCLO": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
- }
- },
- "SCLOE": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
- }
- },
- "SDAI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
- }
- },
- "SDAO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
- }
- },
- "SDAOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
- }
- }
- }
- },
- "SB_IO": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
- }
- }
- }
- },
- "SB_IO_I3C": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
- },
- "ports": {
- "PACKAGE_PIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCH_INPUT_VALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCK_ENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUT_CLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUT_CLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUT_ENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "D_OUT_0": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "D_OUT_1": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "D_IN_0": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "D_IN_1": {
- "direction": "output",
- "bits": [ 11 ]
- },
- "PU_ENB": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "WEAK_PU_ENB": {
- "direction": "input",
- "bits": [ 13 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCK_ENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
- }
- },
- "D_IN_0": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
- }
- },
- "D_IN_1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
- }
- },
- "D_OUT_0": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
- }
- },
- "D_OUT_1": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
- }
- },
- "INPUT_CLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
- }
- },
- "LATCH_INPUT_VALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
- }
- },
- "OUTPUT_CLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
- }
- },
- "OUTPUT_ENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
- }
- },
- "PACKAGE_PIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
- }
- },
- "PU_ENB": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
- }
- },
- "WEAK_PU_ENB": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
- }
- }
- }
- },
- "SB_IO_OD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLOCKENABLE": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "INPUTCLK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "OUTPUTCLK": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "OUTPUTENABLE": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DOUT1": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "DOUT0": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "DIN1": {
- "direction": "output",
- "bits": [ 10 ]
- },
- "DIN0": {
- "direction": "output",
- "bits": [ 11 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLOCKENABLE": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
- }
- },
- "DIN0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
- }
- },
- "DIN1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
- }
- },
- "DOUT0": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
- }
- },
- "DOUT1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
- }
- },
- "INPUTCLK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
- }
- },
- "OUTPUTCLK": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
- }
- },
- "OUTPUTENABLE": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
- }
- }
- }
- },
- "SB_LEDDA_IP": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
- },
- "ports": {
- "LEDDCS": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "LEDDCLK": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "LEDDDAT7": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "LEDDDAT6": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "LEDDDAT5": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "LEDDDAT4": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "LEDDDAT3": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "LEDDDAT2": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "LEDDDAT1": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "LEDDDAT0": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "LEDDADDR3": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "LEDDADDR2": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "LEDDADDR1": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "LEDDADDR0": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "LEDDDEN": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LEDDEXE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "LEDDRST": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "PWMOUT0": {
- "direction": "output",
- "bits": [ 19 ]
- },
- "PWMOUT1": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "PWMOUT2": {
- "direction": "output",
- "bits": [ 21 ]
- },
- "LEDDON": {
- "direction": "output",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "LEDDADDR0": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
- }
- },
- "LEDDADDR1": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
- }
- },
- "LEDDADDR2": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
- }
- },
- "LEDDADDR3": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
- }
- },
- "LEDDCLK": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
- }
- },
- "LEDDCS": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
- }
- },
- "LEDDDAT0": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
- }
- },
- "LEDDDAT1": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
- }
- },
- "LEDDDAT2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
- }
- },
- "LEDDDAT3": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
- }
- },
- "LEDDDAT4": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
- }
- },
- "LEDDDAT5": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
- }
- },
- "LEDDDAT6": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
- }
- },
- "LEDDDAT7": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
- }
- },
- "LEDDDEN": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
- }
- },
- "LEDDEXE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
- }
- },
- "LEDDON": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
- }
- },
- "LEDDRST": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
- }
- },
- "PWMOUT0": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
- }
- },
- "PWMOUT1": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
- }
- },
- "PWMOUT2": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
- }
- }
- }
- },
- "SB_LFOSC": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
- },
- "ports": {
- "CLKLFPU": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CLKLFEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "CLKLF": {
- "direction": "output",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CLKLF": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
- }
- },
- "CLKLFEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
- }
- },
- "CLKLFPU": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
- }
- }
- }
- },
- "SB_LUT4": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- },
- "ports": {
- "O": {
- "direction": "output",
- "bits": [ 2 ]
- },
- "I0": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "I1": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "I2": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "I3": {
- "direction": "input",
- "bits": [ 6 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "I0": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I1": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I2": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "I3": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
- }
- }
- }
- },
- "SB_MAC16": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
- },
- "ports": {
- "CLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "CE": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "C": {
- "direction": "input",
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
- },
- "A": {
- "direction": "input",
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
- },
- "B": {
- "direction": "input",
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
- },
- "D": {
- "direction": "input",
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
- },
- "AHOLD": {
- "direction": "input",
- "bits": [ 68 ]
- },
- "BHOLD": {
- "direction": "input",
- "bits": [ 69 ]
- },
- "CHOLD": {
- "direction": "input",
- "bits": [ 70 ]
- },
- "DHOLD": {
- "direction": "input",
- "bits": [ 71 ]
- },
- "IRSTTOP": {
- "direction": "input",
- "bits": [ 72 ]
- },
- "IRSTBOT": {
- "direction": "input",
- "bits": [ 73 ]
- },
- "ORSTTOP": {
- "direction": "input",
- "bits": [ 74 ]
- },
- "ORSTBOT": {
- "direction": "input",
- "bits": [ 75 ]
- },
- "OLOADTOP": {
- "direction": "input",
- "bits": [ 76 ]
- },
- "OLOADBOT": {
- "direction": "input",
- "bits": [ 77 ]
- },
- "ADDSUBTOP": {
- "direction": "input",
- "bits": [ 78 ]
- },
- "ADDSUBBOT": {
- "direction": "input",
- "bits": [ 79 ]
- },
- "OHOLDTOP": {
- "direction": "input",
- "bits": [ 80 ]
- },
- "OHOLDBOT": {
- "direction": "input",
- "bits": [ 81 ]
- },
- "CI": {
- "direction": "input",
- "bits": [ 82 ]
- },
- "ACCUMCI": {
- "direction": "input",
- "bits": [ 83 ]
- },
- "SIGNEXTIN": {
- "direction": "input",
- "bits": [ 84 ]
- },
- "O": {
- "direction": "output",
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
- },
- "CO": {
- "direction": "output",
- "bits": [ 117 ]
- },
- "ACCUMCO": {
- "direction": "output",
- "bits": [ 118 ]
- },
- "SIGNEXTOUT": {
- "direction": "output",
- "bits": [ 119 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "A": {
- "hide_name": 0,
- "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
- }
- },
- "ACCUMCI": {
- "hide_name": 0,
- "bits": [ 83 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
- }
- },
- "ACCUMCO": {
- "hide_name": 0,
- "bits": [ 118 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
- }
- },
- "ADDSUBBOT": {
- "hide_name": 0,
- "bits": [ 79 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
- }
- },
- "ADDSUBTOP": {
- "hide_name": 0,
- "bits": [ 78 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
- }
- },
- "AHOLD": {
- "hide_name": 0,
- "bits": [ 68 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
- }
- },
- "B": {
- "hide_name": 0,
- "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
- }
- },
- "BHOLD": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
- }
- },
- "C": {
- "hide_name": 0,
- "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
- }
- },
- "CE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
- }
- },
- "CHOLD": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
- }
- },
- "CI": {
- "hide_name": 0,
- "bits": [ 82 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
- }
- },
- "CLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
- }
- },
- "CO": {
- "hide_name": 0,
- "bits": [ 117 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
- }
- },
- "D": {
- "hide_name": 0,
- "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
- }
- },
- "DHOLD": {
- "hide_name": 0,
- "bits": [ 71 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
- }
- },
- "IRSTBOT": {
- "hide_name": 0,
- "bits": [ 73 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
- }
- },
- "IRSTTOP": {
- "hide_name": 0,
- "bits": [ 72 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
- }
- },
- "O": {
- "hide_name": 0,
- "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
- }
- },
- "OHOLDBOT": {
- "hide_name": 0,
- "bits": [ 81 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
- }
- },
- "OHOLDTOP": {
- "hide_name": 0,
- "bits": [ 80 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
- }
- },
- "OLOADBOT": {
- "hide_name": 0,
- "bits": [ 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
- }
- },
- "OLOADTOP": {
- "hide_name": 0,
- "bits": [ 76 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
- }
- },
- "ORSTBOT": {
- "hide_name": 0,
- "bits": [ 75 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
- }
- },
- "ORSTTOP": {
- "hide_name": 0,
- "bits": [ 74 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
- }
- },
- "SIGNEXTIN": {
- "hide_name": 0,
- "bits": [ 84 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
- }
- },
- "SIGNEXTOUT": {
- "hide_name": 0,
- "bits": [ 119 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
- }
- }
- }
- },
- "SB_PLL40_2F_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
- }
- }
- }
- },
- "SB_PLL40_2F_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
- }
- }
- }
- },
- "SB_PLL40_2_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCOREA": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBALA": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "PLLOUTCOREB": {
- "direction": "output",
- "bits": [ 5 ]
- },
- "PLLOUTGLOBALB": {
- "direction": "output",
- "bits": [ 6 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 16 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 20 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 22 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
- }
- },
- "PLLOUTCOREA": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
- }
- },
- "PLLOUTCOREB": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
- }
- },
- "PLLOUTGLOBALA": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
- }
- },
- "PLLOUTGLOBALB": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
- }
- }
- }
- },
- "SB_PLL40_CORE": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
- },
- "ports": {
- "REFERENCECLK": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
- }
- },
- "REFERENCECLK": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
- }
- }
- }
- },
- "SB_PLL40_PAD": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
- },
- "ports": {
- "PACKAGEPIN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "PLLOUTCORE": {
- "direction": "output",
- "bits": [ 3 ]
- },
- "PLLOUTGLOBAL": {
- "direction": "output",
- "bits": [ 4 ]
- },
- "EXTFEEDBACK": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "DYNAMICDELAY": {
- "direction": "input",
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
- },
- "LOCK": {
- "direction": "output",
- "bits": [ 14 ]
- },
- "BYPASS": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "RESETB": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "LATCHINPUTVALUE": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SDO": {
- "direction": "output",
- "bits": [ 18 ]
- },
- "SDI": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SCLK": {
- "direction": "input",
- "bits": [ 20 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BYPASS": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
- }
- },
- "DYNAMICDELAY": {
- "hide_name": 0,
- "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
- }
- },
- "EXTFEEDBACK": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
- }
- },
- "LATCHINPUTVALUE": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
- }
- },
- "LOCK": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
- }
- },
- "PACKAGEPIN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
- }
- },
- "PLLOUTCORE": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
- }
- },
- "PLLOUTGLOBAL": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
- }
- },
- "RESETB": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
- }
- },
- "SCLK": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
- }
- },
- "SDI": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
- }
- },
- "SDO": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
- }
- }
- }
- },
- "SB_RAM40_4K": {
- "attributes": {
- "blackbox": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
- }
- }
- }
- },
- "SB_RAM40_4KNR": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLK": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
- }
- },
- "WCLK": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
- }
- }
- }
- },
- "SB_RAM40_4KNRNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLKN": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RCLKN": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
- }
- }
- }
- },
- "SB_RAM40_4KNW": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
- },
- "ports": {
- "RDATA": {
- "direction": "output",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
- },
- "RCLK": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "RCLKE": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "RE": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "RADDR": {
- "direction": "input",
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "WCLKN": {
- "direction": "input",
- "bits": [ 32 ]
- },
- "WCLKE": {
- "direction": "input",
- "bits": [ 33 ]
- },
- "WE": {
- "direction": "input",
- "bits": [ 34 ]
- },
- "WADDR": {
- "direction": "input",
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
- },
- "MASK": {
- "direction": "input",
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
- },
- "WDATA": {
- "direction": "input",
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MASK": {
- "hide_name": 0,
- "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "RADDR": {
- "hide_name": 0,
- "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
- }
- },
- "RCLK": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RCLKE": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "RDATA": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
- }
- },
- "RE": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
- }
- },
- "WADDR": {
- "hide_name": 0,
- "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
- }
- },
- "WCLKE": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WCLKN": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- },
- "WDATA": {
- "hide_name": 0,
- "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
- }
- },
- "WE": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
- }
- }
- }
- },
- "SB_RGBA_DRV": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
- },
- "ports": {
- "CURREN": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "RGBLEDEN": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "RGB0PWM": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "RGB1PWM": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "RGB2PWM": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "RGB0": {
- "direction": "output",
- "bits": [ 7 ]
- },
- "RGB1": {
- "direction": "output",
- "bits": [ 8 ]
- },
- "RGB2": {
- "direction": "output",
- "bits": [ 9 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "CURREN": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
- }
- },
- "RGB0": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
- }
- },
- "RGB0PWM": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
- }
- },
- "RGB1": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
- }
- },
- "RGB1PWM": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
- }
- },
- "RGB2": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
- }
- },
- "RGB2PWM": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
- }
- },
- "RGBLEDEN": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
- }
- }
- }
- },
- "SB_SPI": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
- },
- "ports": {
- "SBCLKI": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "SBRWI": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "SBSTBI": {
- "direction": "input",
- "bits": [ 4 ]
- },
- "SBADRI7": {
- "direction": "input",
- "bits": [ 5 ]
- },
- "SBADRI6": {
- "direction": "input",
- "bits": [ 6 ]
- },
- "SBADRI5": {
- "direction": "input",
- "bits": [ 7 ]
- },
- "SBADRI4": {
- "direction": "input",
- "bits": [ 8 ]
- },
- "SBADRI3": {
- "direction": "input",
- "bits": [ 9 ]
- },
- "SBADRI2": {
- "direction": "input",
- "bits": [ 10 ]
- },
- "SBADRI1": {
- "direction": "input",
- "bits": [ 11 ]
- },
- "SBADRI0": {
- "direction": "input",
- "bits": [ 12 ]
- },
- "SBDATI7": {
- "direction": "input",
- "bits": [ 13 ]
- },
- "SBDATI6": {
- "direction": "input",
- "bits": [ 14 ]
- },
- "SBDATI5": {
- "direction": "input",
- "bits": [ 15 ]
- },
- "SBDATI4": {
- "direction": "input",
- "bits": [ 16 ]
- },
- "SBDATI3": {
- "direction": "input",
- "bits": [ 17 ]
- },
- "SBDATI2": {
- "direction": "input",
- "bits": [ 18 ]
- },
- "SBDATI1": {
- "direction": "input",
- "bits": [ 19 ]
- },
- "SBDATI0": {
- "direction": "input",
- "bits": [ 20 ]
- },
- "MI": {
- "direction": "input",
- "bits": [ 21 ]
- },
- "SI": {
- "direction": "input",
- "bits": [ 22 ]
- },
- "SCKI": {
- "direction": "input",
- "bits": [ 23 ]
- },
- "SCSNI": {
- "direction": "input",
- "bits": [ 24 ]
- },
- "SBDATO7": {
- "direction": "output",
- "bits": [ 25 ]
- },
- "SBDATO6": {
- "direction": "output",
- "bits": [ 26 ]
- },
- "SBDATO5": {
- "direction": "output",
- "bits": [ 27 ]
- },
- "SBDATO4": {
- "direction": "output",
- "bits": [ 28 ]
- },
- "SBDATO3": {
- "direction": "output",
- "bits": [ 29 ]
- },
- "SBDATO2": {
- "direction": "output",
- "bits": [ 30 ]
- },
- "SBDATO1": {
- "direction": "output",
- "bits": [ 31 ]
- },
- "SBDATO0": {
- "direction": "output",
- "bits": [ 32 ]
- },
- "SBACKO": {
- "direction": "output",
- "bits": [ 33 ]
- },
- "SPIIRQ": {
- "direction": "output",
- "bits": [ 34 ]
- },
- "SPIWKUP": {
- "direction": "output",
- "bits": [ 35 ]
- },
- "SO": {
- "direction": "output",
- "bits": [ 36 ]
- },
- "SOE": {
- "direction": "output",
- "bits": [ 37 ]
- },
- "MO": {
- "direction": "output",
- "bits": [ 38 ]
- },
- "MOE": {
- "direction": "output",
- "bits": [ 39 ]
- },
- "SCKO": {
- "direction": "output",
- "bits": [ 40 ]
- },
- "SCKOE": {
- "direction": "output",
- "bits": [ 41 ]
- },
- "MCSNO3": {
- "direction": "output",
- "bits": [ 42 ]
- },
- "MCSNO2": {
- "direction": "output",
- "bits": [ 43 ]
- },
- "MCSNO1": {
- "direction": "output",
- "bits": [ 44 ]
- },
- "MCSNO0": {
- "direction": "output",
- "bits": [ 45 ]
- },
- "MCSNOE3": {
- "direction": "output",
- "bits": [ 46 ]
- },
- "MCSNOE2": {
- "direction": "output",
- "bits": [ 47 ]
- },
- "MCSNOE1": {
- "direction": "output",
- "bits": [ 48 ]
- },
- "MCSNOE0": {
- "direction": "output",
- "bits": [ 49 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "MCSNO0": {
- "hide_name": 0,
- "bits": [ 45 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
- }
- },
- "MCSNO1": {
- "hide_name": 0,
- "bits": [ 44 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
- }
- },
- "MCSNO2": {
- "hide_name": 0,
- "bits": [ 43 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
- }
- },
- "MCSNO3": {
- "hide_name": 0,
- "bits": [ 42 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
- }
- },
- "MCSNOE0": {
- "hide_name": 0,
- "bits": [ 49 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
- }
- },
- "MCSNOE1": {
- "hide_name": 0,
- "bits": [ 48 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
- }
- },
- "MCSNOE2": {
- "hide_name": 0,
- "bits": [ 47 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
- }
- },
- "MCSNOE3": {
- "hide_name": 0,
- "bits": [ 46 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
- }
- },
- "MI": {
- "hide_name": 0,
- "bits": [ 21 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
- }
- },
- "MO": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
- }
- },
- "MOE": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
- }
- },
- "SBACKO": {
- "hide_name": 0,
- "bits": [ 33 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
- }
- },
- "SBADRI0": {
- "hide_name": 0,
- "bits": [ 12 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
- }
- },
- "SBADRI1": {
- "hide_name": 0,
- "bits": [ 11 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
- }
- },
- "SBADRI2": {
- "hide_name": 0,
- "bits": [ 10 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
- }
- },
- "SBADRI3": {
- "hide_name": 0,
- "bits": [ 9 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
- }
- },
- "SBADRI4": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
- }
- },
- "SBADRI5": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
- }
- },
- "SBADRI6": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
- }
- },
- "SBADRI7": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
- }
- },
- "SBCLKI": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
- }
- },
- "SBDATI0": {
- "hide_name": 0,
- "bits": [ 20 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
- }
- },
- "SBDATI1": {
- "hide_name": 0,
- "bits": [ 19 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
- }
- },
- "SBDATI2": {
- "hide_name": 0,
- "bits": [ 18 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
- }
- },
- "SBDATI3": {
- "hide_name": 0,
- "bits": [ 17 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
- }
- },
- "SBDATI4": {
- "hide_name": 0,
- "bits": [ 16 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
- }
- },
- "SBDATI5": {
- "hide_name": 0,
- "bits": [ 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
- }
- },
- "SBDATI6": {
- "hide_name": 0,
- "bits": [ 14 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
- }
- },
- "SBDATI7": {
- "hide_name": 0,
- "bits": [ 13 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
- }
- },
- "SBDATO0": {
- "hide_name": 0,
- "bits": [ 32 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
- }
- },
- "SBDATO1": {
- "hide_name": 0,
- "bits": [ 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
- }
- },
- "SBDATO2": {
- "hide_name": 0,
- "bits": [ 30 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
- }
- },
- "SBDATO3": {
- "hide_name": 0,
- "bits": [ 29 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
- }
- },
- "SBDATO4": {
- "hide_name": 0,
- "bits": [ 28 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
- }
- },
- "SBDATO5": {
- "hide_name": 0,
- "bits": [ 27 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
- }
- },
- "SBDATO6": {
- "hide_name": 0,
- "bits": [ 26 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
- }
- },
- "SBDATO7": {
- "hide_name": 0,
- "bits": [ 25 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
- }
- },
- "SBRWI": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
- }
- },
- "SBSTBI": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
- }
- },
- "SCKI": {
- "hide_name": 0,
- "bits": [ 23 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
- }
- },
- "SCKO": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
- }
- },
- "SCKOE": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
- }
- },
- "SCSNI": {
- "hide_name": 0,
- "bits": [ 24 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
- }
- },
- "SI": {
- "hide_name": 0,
- "bits": [ 22 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
- }
- },
- "SO": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
- }
- },
- "SOE": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
- }
- },
- "SPIIRQ": {
- "hide_name": 0,
- "bits": [ 34 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
- }
- },
- "SPIWKUP": {
- "hide_name": 0,
- "bits": [ 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
- }
- }
- }
- },
- "SB_SPRAM256KA": {
- "attributes": {
- "blackbox": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
- },
- "ports": {
- "ADDRESS": {
- "direction": "input",
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
- },
- "DATAIN": {
- "direction": "input",
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
- },
- "MASKWREN": {
- "direction": "input",
- "bits": [ 32, 33, 34, 35 ]
- },
- "WREN": {
- "direction": "input",
- "bits": [ 36 ]
- },
- "CHIPSELECT": {
- "direction": "input",
- "bits": [ 37 ]
- },
- "CLOCK": {
- "direction": "input",
- "bits": [ 38 ]
- },
- "STANDBY": {
- "direction": "input",
- "bits": [ 39 ]
- },
- "SLEEP": {
- "direction": "input",
- "bits": [ 40 ]
- },
- "POWEROFF": {
- "direction": "input",
- "bits": [ 41 ]
- },
- "DATAOUT": {
- "direction": "output",
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "ADDRESS": {
- "hide_name": 0,
- "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
- }
- },
- "CHIPSELECT": {
- "hide_name": 0,
- "bits": [ 37 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "CLOCK": {
- "hide_name": 0,
- "bits": [ 38 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "DATAIN": {
- "hide_name": 0,
- "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
- }
- },
- "DATAOUT": {
- "hide_name": 0,
- "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
- }
- },
- "MASKWREN": {
- "hide_name": 0,
- "bits": [ 32, 33, 34, 35 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
- }
- },
- "POWEROFF": {
- "hide_name": 0,
- "bits": [ 41 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "SLEEP": {
- "hide_name": 0,
- "bits": [ 40 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "STANDBY": {
- "hide_name": 0,
- "bits": [ 39 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- },
- "WREN": {
- "hide_name": 0,
- "bits": [ 36 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
- }
- }
- }
- },
- "SB_WARMBOOT": {
- "attributes": {
- "blackbox": 1,
- "keep": 1,
- "cells_not_processed": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
- },
- "ports": {
- "BOOT": {
- "direction": "input",
- "bits": [ 2 ]
- },
- "S1": {
- "direction": "input",
- "bits": [ 3 ]
- },
- "S0": {
- "direction": "input",
- "bits": [ 4 ]
- }
- },
- "cells": {
- },
- "netnames": {
- "BOOT": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
- }
- },
- "S0": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
- }
- },
- "S1": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
- }
- }
- }
- },
- "top": {
- "attributes": {
- "top": 1,
- "src": "top.v:2"
- },
- "ports": {
- "clk_if": {
- "direction": "inout",
- "bits": [ 2 ]
- },
- "i2c_scl": {
- "direction": "inout",
- "bits": [ 3 ]
- },
- "i2c_sda": {
- "direction": "inout",
- "bits": [ 4 ]
- },
- "fx2_sloe": {
- "direction": "inout",
- "bits": [ 5 ]
- },
- "fx2_slrd": {
- "direction": "inout",
- "bits": [ 6 ]
- },
- "fx2_slwr": {
- "direction": "inout",
- "bits": [ 7 ]
- },
- "fx2_pktend": {
- "direction": "inout",
- "bits": [ 8 ]
- },
- "fx2_fifoadr": {
- "direction": "inout",
- "bits": [ 9, 10 ]
- },
- "fx2_flag": {
- "direction": "inout",
- "bits": [ 11, 12, 13, 14 ]
- },
- "fx2_fd": {
- "direction": "inout",
- "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ]
- },
- "io": {
- "direction": "inout",
- "bits": [ 23, 24, 25, 26, 27, 28, 29, 30 ]
- },
- "io_1": {
- "direction": "input",
- "bits": [ 31, 32, 33, 34, 35, 36, 37, 38 ]
- }
- },
- "cells": {
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7864": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 20224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 39 ],
- "I1": [ 40 ],
- "I2": [ 41 ],
- "I3": [ 42 ],
- "O": [ 43 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7865": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 45 ],
- "I2": [ 46 ],
- "I3": [ 47 ],
- "O": [ 42 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7866": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 49 ],
- "I2": [ 46 ],
- "I3": [ 50 ],
- "O": [ 47 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7867": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 51 ],
- "I1": [ 52 ],
- "I2": [ 53 ],
- "I3": [ "0" ],
- "O": [ 50 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7868": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ 55 ],
- "I2": [ 56 ],
- "I3": [ "0" ],
- "O": [ 51 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7869": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ 58 ],
- "I2": [ 59 ],
- "I3": [ 60 ],
- "O": [ 52 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7870": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ 62 ],
- "I2": [ 63 ],
- "I3": [ 64 ],
- "O": [ 53 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7871": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 40 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 46 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7872": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ "0" ],
- "O": [ 49 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7873": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 69 ],
- "I1": [ 70 ],
- "I2": [ 71 ],
- "I3": [ "0" ],
- "O": [ 45 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7874": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28672
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 72 ],
- "I1": [ 73 ],
- "I2": [ 69 ],
- "I3": [ 74 ],
- "O": [ 71 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7875": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ 76 ],
- "I2": [ 77 ],
- "I3": [ 78 ],
- "O": [ 73 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7876": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ 80 ],
- "I2": [ 81 ],
- "I3": [ 82 ],
- "O": [ 72 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7877": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 68 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 44 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7878": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 83 ],
- "I1": [ 84 ],
- "I2": [ 85 ],
- "I3": [ "0" ],
- "O": [ 41 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7879": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 87 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 85 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7880": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 88 ],
- "I1": [ 40 ],
- "I2": [ 89 ],
- "I3": [ "0" ],
- "O": [ 86 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7881": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 89 ],
- "I2": [ 88 ],
- "I3": [ "0" ],
- "O": [ 87 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7882": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 46 ],
- "I1": [ 90 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 84 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7883": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 67 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 90 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7884": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 91 ],
- "I1": [ 92 ],
- "I2": [ 90 ],
- "I3": [ "0" ],
- "O": [ 83 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7885": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 93 ],
- "I2": [ 94 ],
- "I3": [ 65 ],
- "O": [ 92 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7886": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 40 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 95 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7887": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 89 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 96 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7888": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 97 ],
- "I1": [ 98 ],
- "I2": [ 99 ],
- "I3": [ 100 ],
- "O": [ 101 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7889": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 100 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7890": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 104 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 98 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7891": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 105 ],
- "I1": [ 106 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 103 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7892": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 107 ],
- "I1": [ 108 ],
- "I2": [ 109 ],
- "I3": [ 110 ],
- "O": [ 105 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7893": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 112 ],
- "I2": [ 113 ],
- "I3": [ 114 ],
- "O": [ 106 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7894": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 116 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 104 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7895": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 98 ],
- "I2": [ 118 ],
- "I3": [ 100 ],
- "O": [ 119 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7896": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32512
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 120 ],
- "I1": [ 98 ],
- "I2": [ 99 ],
- "I3": [ 100 ],
- "O": [ 121 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7897": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 122 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 123 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7898": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 124 ],
- "I1": [ 125 ],
- "I2": [ 93 ],
- "I3": [ 94 ],
- "O": [ 122 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7899": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 67 ],
- "I2": [ 66 ],
- "I3": [ "0" ],
- "O": [ 124 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7900": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 40 ],
- "I2": [ 91 ],
- "I3": [ "0" ],
- "O": [ 125 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7901": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 28927
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ 65 ],
- "I2": [ 126 ],
- "I3": [ 127 ],
- "O": [ 128 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7902": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 41 ],
- "I1": [ 129 ],
- "I2": [ 47 ],
- "I3": [ "0" ],
- "O": [ 127 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7903": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 130 ],
- "I1": [ 131 ],
- "I2": [ 132 ],
- "I3": [ "0" ],
- "O": [ 129 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7904": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 125 ],
- "I1": [ 93 ],
- "I2": [ 94 ],
- "I3": [ "0" ],
- "O": [ 130 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7905": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 133 ],
- "I1": [ 134 ],
- "I2": [ 135 ],
- "I3": [ 136 ],
- "O": [ 131 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7906": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 137 ],
- "I1": [ 138 ],
- "I2": [ 139 ],
- "I3": [ 140 ],
- "O": [ 135 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7907": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 66 ],
- "I2": [ 67 ],
- "I3": [ "0" ],
- "O": [ 132 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7908": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 44 ],
- "I1": [ 40 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 126 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7909": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 141 ],
- "I2": [ 142 ],
- "I3": [ "0" ],
- "O": [ 143 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7910": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3584
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 66 ],
- "I1": [ 67 ],
- "I2": [ 68 ],
- "I3": [ 46 ],
- "O": [ 141 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7911": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 66 ],
- "I2": [ 46 ],
- "I3": [ 68 ],
- "O": [ 142 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7912": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 145 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7913": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 146 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 144 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7914": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 124 ],
- "I2": [ 147 ],
- "I3": [ "0" ],
- "O": [ 146 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7915": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 40 ],
- "I1": [ 65 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 147 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7916": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 254
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 148 ],
- "I1": [ 84 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 149 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7917": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 128
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 150 ],
- "I1": [ 147 ],
- "I2": [ 48 ],
- "I3": [ "0" ],
- "O": [ 148 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7918": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 49 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 150 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7919": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 71 ],
- "I1": [ 70 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 151 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7920": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 152 ],
- "I1": [ 153 ],
- "I2": [ 154 ],
- "I3": [ 155 ],
- "O": [ 156 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7921": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 23552
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 117 ],
- "I2": [ 116 ],
- "I3": [ 157 ],
- "O": [ 155 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7922": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 158 ],
- "I1": [ 159 ],
- "I2": [ 160 ],
- "I3": [ 161 ],
- "O": [ 153 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7923": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 162 ],
- "I1": [ 163 ],
- "I2": [ 164 ],
- "I3": [ 165 ],
- "O": [ 154 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7924": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 167 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 152 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7925": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 168 ],
- "I1": [ 169 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 170 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7926": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 156 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 168 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7927": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 41728
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 115 ],
- "I1": [ 117 ],
- "I2": [ 116 ],
- "I3": [ 157 ],
- "O": [ 169 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7928": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 171 ],
- "I1": [ 172 ],
- "I2": [ 173 ],
- "I3": [ "0" ],
- "O": [ 174 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7929": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 176 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 172 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7930": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 178 ],
- "I2": [ 179 ],
- "I3": [ "0" ],
- "O": [ 175 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7931": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 181 ],
- "I2": [ 182 ],
- "I3": [ "0" ],
- "O": [ 177 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7932": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 181 ],
- "I2": [ 183 ],
- "I3": [ "0" ],
- "O": [ 178 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7933": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13823
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 184 ],
- "I1": [ 185 ],
- "I2": [ 181 ],
- "I3": [ 180 ],
- "O": [ 179 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7934": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 186 ],
- "I2": [ 187 ],
- "I3": [ 188 ],
- "O": [ 176 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7935": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 166 ],
- "I1": [ 154 ],
- "I2": [ 153 ],
- "I3": [ 167 ],
- "O": [ 173 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7936": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 189 ],
- "I1": [ 190 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 171 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7937": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 174 ],
- "I2": [ 156 ],
- "I3": [ "0" ],
- "O": [ 191 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7938": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 44010
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 174 ],
- "I2": [ 162 ],
- "I3": [ 156 ],
- "O": [ 192 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7939": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 193 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7940": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 174 ],
- "I1": [ 194 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 195 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7941": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 156 ],
- "I1": [ 196 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 197 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7942": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 199 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7943": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3328
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 190 ],
- "I1": [ 189 ],
- "I2": [ 200 ],
- "I3": [ 172 ],
- "O": [ 198 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7944": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 171 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 200 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7945": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65265
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 171 ],
- "I2": [ 50 ],
- "I3": [ 198 ],
- "O": [ 201 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7946": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 241
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 171 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 202 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7947": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3003
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 203 ],
- "I1": [ 204 ],
- "I2": [ 205 ],
- "I3": [ 206 ],
- "O": [ 207 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7948": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3071
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 177 ],
- "I2": [ 208 ],
- "I3": [ 209 ],
- "O": [ 205 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7949": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 178 ],
- "I1": [ 206 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 208 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7950": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 186 ],
- "I1": [ 187 ],
- "I2": [ 188 ],
- "I3": [ "0" ],
- "O": [ 209 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7951": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 210 ],
- "I1": [ 211 ],
- "I2": [ 212 ],
- "I3": [ 213 ],
- "O": [ 204 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7952": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 214 ],
- "I1": [ 215 ],
- "I2": [ 216 ],
- "I3": [ "0" ],
- "O": [ 212 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7953": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 217 ],
- "I1": [ 218 ],
- "I2": [ 219 ],
- "I3": [ 220 ],
- "O": [ 213 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7954": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 50 ],
- "I2": [ 205 ],
- "I3": [ "0" ],
- "O": [ 221 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7955": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 222 ],
- "I1": [ 223 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 224 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7956": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 204 ],
- "I1": [ 203 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 222 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7957": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 116 ],
- "I1": [ 115 ],
- "I2": [ 117 ],
- "I3": [ "0" ],
- "O": [ 223 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7958": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 190
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 224 ],
- "I2": [ 207 ],
- "I3": [ "0" ],
- "O": [ 225 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7959": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 47790
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 207 ],
- "I2": [ 224 ],
- "I3": [ 211 ],
- "O": [ 226 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7960": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 227 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7961": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 224 ],
- "I1": [ 228 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 229 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7962": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 50 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 230 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7963": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 207 ],
- "I1": [ 231 ],
- "I2": [ 50 ],
- "I3": [ "0" ],
- "O": [ 232 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7964": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 233 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7965": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 234 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 235 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7966": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 236 ],
- "I1": [ 237 ],
- "I2": [ 104 ],
- "I3": [ "0" ],
- "O": [ 234 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7967": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 115 ],
- "I2": [ 117 ],
- "I3": [ 116 ],
- "O": [ 236 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7968": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 238 ],
- "I1": [ 157 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 237 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7969": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 115 ],
- "I2": [ 116 ],
- "I3": [ "0" ],
- "O": [ 238 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7970": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 169 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 239 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7971": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 99 ],
- "I2": [ 100 ],
- "I3": [ "0" ],
- "O": [ 240 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7972": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 33023
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 98 ],
- "I2": [ 241 ],
- "I3": [ 100 ],
- "O": [ 242 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7973": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 100 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 243 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7974": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 244
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 99 ],
- "I1": [ 244 ],
- "I2": [ 243 ],
- "I3": [ "0" ],
- "O": [ 245 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7975": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 244 ],
- "I1": [ 99 ],
- "I2": [ 100 ],
- "I3": [ "0" ],
- "O": [ 246 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7976": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65344
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 70 ],
- "I1": [ 247 ],
- "I2": [ 69 ],
- "I3": [ 50 ],
- "O": [ 248 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7977": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 249 ],
- "I1": [ 250 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 247 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7978": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 251 ],
- "I1": [ 252 ],
- "I2": [ 253 ],
- "I3": [ 254 ],
- "O": [ 249 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7979": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 255 ],
- "I1": [ 256 ],
- "I2": [ 257 ],
- "I3": [ 258 ],
- "O": [ 250 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7980": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65296
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 247 ],
- "I1": [ 70 ],
- "I2": [ 69 ],
- "I3": [ 50 ],
- "O": [ 259 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7981": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 60075
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 186 ],
- "I2": [ 187 ],
- "I3": [ 188 ],
- "O": [ 260 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7982": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 260 ],
- "I1": [ 261 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 262 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7983": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 181 ],
- "I2": [ 263 ],
- "I3": [ "0" ],
- "O": [ 261 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7984": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 187 ],
- "I1": [ 186 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 263 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7985": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 14
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 260 ],
- "I1": [ 264 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 265 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7986": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 181 ],
- "I1": [ 188 ],
- "I2": [ 263 ],
- "I3": [ "0" ],
- "O": [ 264 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7987": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 268 ],
- "I3": [ "0" ],
- "O": [ 269 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7988": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 173 ],
- "I1": [ 182 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 266 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7989": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16385
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 186 ],
- "I2": [ 187 ],
- "I3": [ 188 ],
- "O": [ 268 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7990": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 206 ],
- "I1": [ 183 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 267 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7991": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 100 ],
- "I3": [ "0" ],
- "O": [ 271 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7992": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 126
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 115 ],
- "I2": [ 116 ],
- "I3": [ "0" ],
- "O": [ 270 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7993": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 50 ],
- "I1": [ 62 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 272 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7994": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 273 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 274 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7995": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 275 ],
- "I1": [ 276 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 273 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7996": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 277 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 278 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7997": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 279 ],
- "I1": [ 280 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 277 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7998": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 281 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 282 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$7999": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 284 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 281 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8000": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 285 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 286 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8001": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 288 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 285 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8002": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 289 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 290 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8003": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 291 ],
- "I1": [ 292 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 289 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8004": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 293 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 294 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8005": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 296 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 293 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8006": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 297 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 298 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8007": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 21299
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 299 ],
- "I1": [ 300 ],
- "I2": [ 238 ],
- "I3": [ 157 ],
- "O": [ 297 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8008": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 238 ],
- "I2": [ 157 ],
- "I3": [ 301 ],
- "O": [ 302 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8009": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 303 ],
- "I1": [ 304 ],
- "I2": [ 305 ],
- "I3": [ 102 ],
- "O": [ 306 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8010": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 208
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 222 ],
- "I2": [ 223 ],
- "I3": [ "0" ],
- "O": [ 304 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8011": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 308 ],
- "I1": [ 309 ],
- "I2": [ 310 ],
- "I3": [ 311 ],
- "O": [ 307 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8012": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 312 ],
- "I1": [ 313 ],
- "I2": [ 314 ],
- "I3": [ 315 ],
- "O": [ 308 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8013": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 316 ],
- "I1": [ 317 ],
- "I2": [ 318 ],
- "I3": [ 319 ],
- "O": [ 309 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8014": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 321 ],
- "I2": [ 322 ],
- "I3": [ 323 ],
- "O": [ 310 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8015": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 324 ],
- "I1": [ 325 ],
- "I2": [ 326 ],
- "I3": [ 327 ],
- "O": [ 311 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8016": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ 329 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 305 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8017": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 117 ],
- "I2": [ 115 ],
- "I3": [ 116 ],
- "O": [ 328 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8018": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 29674
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 115 ],
- "I2": [ 157 ],
- "I3": [ 116 ],
- "O": [ 329 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8019": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 32768
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 98 ],
- "I1": [ 330 ],
- "I2": [ 118 ],
- "I3": [ 99 ],
- "O": [ 303 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8020": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ 331 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 330 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8021": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 328 ],
- "I1": [ 224 ],
- "I2": [ 332 ],
- "I3": [ 102 ],
- "O": [ 333 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8022": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 236 ],
- "I1": [ 334 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 332 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8023": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58367
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 116 ],
- "I2": [ 157 ],
- "I3": [ 115 ],
- "O": [ 334 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8024": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 239
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 335 ],
- "I1": [ 336 ],
- "I2": [ 234 ],
- "I3": [ 102 ],
- "O": [ 337 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8025": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 307 ],
- "I1": [ 222 ],
- "I2": [ 223 ],
- "I3": [ "0" ],
- "O": [ 335 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8026": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 157 ],
- "I1": [ 117 ],
- "I2": [ 115 ],
- "I3": [ 116 ],
- "O": [ 336 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8027": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 99 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 338 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8028": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 83
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 71 ],
- "I1": [ 339 ],
- "I2": [ 70 ],
- "I3": [ "0" ],
- "O": [ 340 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8029": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 59391
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 65 ],
- "I1": [ 48 ],
- "I2": [ 40 ],
- "I3": [ 150 ],
- "O": [ 339 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8030": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 341 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 342 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8031": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 343 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 344 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8032": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 345 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 346 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8033": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 347 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 348 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8034": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 349 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 350 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8035": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 351 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 352 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8036": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 353 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 354 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8037": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 355 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 356 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8038": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 357 ],
- "I2": [ 358 ],
- "I3": [ 102 ],
- "O": [ 359 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8039": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 301 ],
- "I1": [ 316 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 358 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8040": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4096
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 117 ],
- "I1": [ 116 ],
- "I2": [ 115 ],
- "I3": [ 157 ],
- "O": [ 360 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8041": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 361 ],
- "I1": [ 102 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 362 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8042": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 125
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 316 ],
- "I2": [ 317 ],
- "I3": [ 363 ],
- "O": [ 361 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8043": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 275 ],
- "I1": [ 317 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 363 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8044": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 364 ],
- "I2": [ 365 ],
- "I3": [ 102 ],
- "O": [ 366 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8045": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 279 ],
- "I1": [ 318 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 365 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8046": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 367 ],
- "I2": [ 368 ],
- "I3": [ 102 ],
- "O": [ 369 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8047": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 283 ],
- "I1": [ 319 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 368 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8048": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 370 ],
- "I2": [ 371 ],
- "I3": [ 102 ],
- "O": [ 372 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8049": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 287 ],
- "I1": [ 312 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 371 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8050": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 373 ],
- "I2": [ 374 ],
- "I3": [ 102 ],
- "O": [ 375 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8051": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 291 ],
- "I1": [ 313 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 374 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8052": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 376 ],
- "I2": [ 377 ],
- "I3": [ 102 ],
- "O": [ 378 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8053": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 295 ],
- "I1": [ 314 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 377 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8054": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 379 ],
- "I2": [ 380 ],
- "I3": [ 102 ],
- "O": [ 381 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8055": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 299 ],
- "I1": [ 315 ],
- "I2": [ 360 ],
- "I3": [ "0" ],
- "O": [ 380 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8056": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 360 ],
- "I1": [ 324 ],
- "I2": [ 382 ],
- "I3": [ 102 ],
- "O": [ 383 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8057": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 384 ],
- "I1": [ 237 ],
- "I2": [ 385 ],
- "I3": [ "0" ],
- "O": [ 382 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8058": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 301 ],
- "I3": [ "0" ],
- "O": [ 385 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8059": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 386 ],
- "I1": [ 387 ],
- "I2": [ 102 ],
- "I3": [ "0" ],
- "O": [ 388 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8060": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 325 ],
- "I1": [ 360 ],
- "I2": [ 237 ],
- "I3": [ 389 ],
- "O": [ 387 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8061": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 275 ],
- "I3": [ "0" ],
- "O": [ 386 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8062": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 390 ],
- "I1": [ 391 ],
- "I2": [ 102 ],
- "I3": [ "0" ],
- "O": [ 392 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8063": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 326 ],
- "I1": [ 360 ],
- "I2": [ 237 ],
- "I3": [ 393 ],
- "O": [ 391 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8064": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 279 ],
- "I3": [ "0" ],
- "O": [ 390 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8065": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 394 ],
- "I2": [ 395 ],
- "I3": [ 102 ],
- "O": [ 396 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8066": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 327 ],
- "I1": [ 360 ],
- "I2": [ 397 ],
- "I3": [ "0" ],
- "O": [ 395 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8067": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 283 ],
- "I3": [ "0" ],
- "O": [ 397 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8068": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 398 ],
- "I1": [ 399 ],
- "I2": [ 102 ],
- "I3": [ "0" ],
- "O": [ 400 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8069": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 320 ],
- "I1": [ 360 ],
- "I2": [ 237 ],
- "I3": [ 401 ],
- "O": [ 399 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8070": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 287 ],
- "I3": [ "0" ],
- "O": [ 398 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8071": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 402 ],
- "I1": [ 403 ],
- "I2": [ 102 ],
- "I3": [ "0" ],
- "O": [ 404 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8072": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 321 ],
- "I1": [ 360 ],
- "I2": [ 237 ],
- "I3": [ 405 ],
- "O": [ 403 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8073": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 291 ],
- "I3": [ "0" ],
- "O": [ 402 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8074": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 237 ],
- "I1": [ 406 ],
- "I2": [ 407 ],
- "I3": [ 102 ],
- "O": [ 408 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8075": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 322 ],
- "I1": [ 360 ],
- "I2": [ 409 ],
- "I3": [ "0" ],
- "O": [ 407 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8076": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 295 ],
- "I3": [ "0" ],
- "O": [ 409 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8077": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 410 ],
- "I1": [ 411 ],
- "I2": [ 102 ],
- "I3": [ "0" ],
- "O": [ 412 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8078": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1911
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 323 ],
- "I1": [ 360 ],
- "I2": [ 237 ],
- "I3": [ 413 ],
- "O": [ 411 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8079": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 270 ],
- "I1": [ 157 ],
- "I2": [ 299 ],
- "I3": [ "0" ],
- "O": [ 410 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8080": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 414 ],
- "I1": [ 415 ],
- "I2": [ 102 ],
- "I3": [ 234 ],
- "O": [ 416 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8081": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 237 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 415 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8082": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 144
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 111 ],
- "I1": [ 112 ],
- "I2": [ 417 ],
- "I3": [ "0" ],
- "O": [ 418 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8083": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 103 ],
- "I1": [ 102 ],
- "I2": [ 115 ],
- "I3": [ 117 ],
- "O": [ 417 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8084": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 419 ],
- "I1": [ 415 ],
- "I2": [ 102 ],
- "I3": [ 234 ],
- "O": [ 420 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8085": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 417 ],
- "I1": [ 421 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 422 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8086": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 423 ],
- "I1": [ 415 ],
- "I2": [ 102 ],
- "I3": [ 234 ],
- "O": [ 424 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8087": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 417 ],
- "I1": [ 425 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 426 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8088": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 417 ],
- "I1": [ 427 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 428 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8089": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 11
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 429 ],
- "I1": [ 415 ],
- "I2": [ 102 ],
- "I3": [ 234 ],
- "O": [ 430 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8090": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 102 ],
- "I1": [ 99 ],
- "I2": [ 98 ],
- "I3": [ "0" ],
- "O": [ 431 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8091": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 432 ],
- "I1": [ 433 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 434 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8092": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 435 ],
- "I1": [ 436 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 437 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8093": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 438 ],
- "I1": [ 439 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 440 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8094": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 441 ],
- "I1": [ 442 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 443 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8095": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 444 ],
- "I1": [ 445 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 446 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8096": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 447 ],
- "I1": [ 448 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 449 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8097": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 450 ],
- "I1": [ 451 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 452 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8098": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 453 ],
- "I1": [ 454 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 455 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8099": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 456 ],
- "I1": [ 457 ],
- "I2": [ 224 ],
- "I3": [ "0" ],
- "O": [ 458 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8100": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 48163
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 190 ],
- "I2": [ 172 ],
- "I3": [ 189 ],
- "O": [ 459 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8101": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 460 ],
- "I1": [ 461 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 462 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8102": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 463 ],
- "I1": [ 464 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 465 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8103": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 466 ],
- "I1": [ 467 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 468 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8104": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 469 ],
- "I1": [ 470 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 471 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8105": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 472 ],
- "I1": [ 473 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 474 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8106": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 475 ],
- "I1": [ 476 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 477 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8107": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 478 ],
- "I1": [ 479 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 480 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8108": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 481 ],
- "I1": [ 482 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 483 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8109": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 202
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 484 ],
- "I1": [ 485 ],
- "I2": [ 174 ],
- "I3": [ "0" ],
- "O": [ 486 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8110": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 487 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 488 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8111": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 489 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 490 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8112": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 491 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 492 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8113": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 493 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 494 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8114": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 495 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 496 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8115": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 497 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 498 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8116": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 499 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 500 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8117": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 501 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 502 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8118": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 343 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 503 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8119": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 345 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 504 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8120": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 347 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 505 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8121": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 349 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 506 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8122": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 351 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 507 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8123": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 353 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 508 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8124": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 355 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 509 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8125": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 223 ],
- "I1": [ 510 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 511 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8126": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 512 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 513 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8127": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 513 ],
- "I2": [ 514 ],
- "I3": [ "0" ],
- "O": [ 515 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8128": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 2572
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 516 ],
- "I1": [ 517 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 514 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8129": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 519 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 520 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8130": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 520 ],
- "I2": [ 521 ],
- "I3": [ "0" ],
- "O": [ 522 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8131": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 523 ],
- "I1": [ 524 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 521 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8132": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 525 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 526 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8133": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 526 ],
- "I2": [ 527 ],
- "I3": [ "0" ],
- "O": [ 528 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8134": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 529 ],
- "I1": [ 530 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 527 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8135": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 531 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 532 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8136": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 532 ],
- "I2": [ 533 ],
- "I3": [ "0" ],
- "O": [ 534 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8137": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 535 ],
- "I1": [ 536 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 533 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8138": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 537 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 538 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8139": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 538 ],
- "I2": [ 539 ],
- "I3": [ "0" ],
- "O": [ 540 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8140": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 541 ],
- "I1": [ 542 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 539 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8141": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 543 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 544 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8142": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 544 ],
- "I2": [ 545 ],
- "I3": [ "0" ],
- "O": [ 546 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8143": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 547 ],
- "I1": [ 548 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 545 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8144": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 549 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 550 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8145": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 550 ],
- "I2": [ 551 ],
- "I3": [ "0" ],
- "O": [ 552 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8146": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 553 ],
- "I1": [ 554 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 551 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8147": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 180 ],
- "I1": [ 555 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 556 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8148": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 248
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 200 ],
- "I1": [ 556 ],
- "I2": [ 557 ],
- "I3": [ "0" ],
- "O": [ 558 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8149": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3082
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 559 ],
- "I1": [ 560 ],
- "I2": [ 171 ],
- "I3": [ 518 ],
- "O": [ 557 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8150": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 48 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 561 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8151": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 137 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 562 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8152": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 138 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 563 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8153": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 139 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 564 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8154": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 136 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 565 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8155": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 566 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8156": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 133 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 567 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8157": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 122 ],
- "I1": [ 134 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 568 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8158": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 88 ],
- "I1": [ 89 ],
- "I2": [ 146 ],
- "I3": [ "0" ],
- "O": [ 569 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8159": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 48 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 570 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8160": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 137 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 571 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8161": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 138 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 572 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8162": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 139 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 573 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8163": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 136 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 574 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8164": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 140 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 575 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8165": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 144 ],
- "I1": [ 133 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 576 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8166": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 131
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 187 ],
- "I1": [ 186 ],
- "I2": [ 188 ],
- "I3": [ "0" ],
- "O": [ 577 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8167": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 176 ],
- "I2": [ 579 ],
- "I3": [ "0" ],
- "O": [ 580 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8168": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 13
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 177 ],
- "I1": [ 173 ],
- "I2": [ 208 ],
- "I3": [ "0" ],
- "O": [ 578 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8169": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64271
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 181 ],
- "I2": [ 186 ],
- "I3": [ 187 ],
- "O": [ 579 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8170": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 180 ],
- "I3": [ "0" ],
- "O": [ 581 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8171": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 19924
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 266 ],
- "I1": [ 267 ],
- "I2": [ 180 ],
- "I3": [ 181 ],
- "O": [ 582 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8172": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 127
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 132 ],
- "I1": [ 131 ],
- "I2": [ 130 ],
- "I3": [ 583 ],
- "O": [ 584 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8173": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 147 ],
- "I1": [ 68 ],
- "I2": [ 67 ],
- "I3": [ 66 ],
- "O": [ 583 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8174": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 65522
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 585 ],
- "I2": [ 586 ],
- "I3": [ 142 ],
- "O": [ 587 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8175": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 79
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 131 ],
- "I1": [ 130 ],
- "I2": [ 132 ],
- "I3": [ 588 ],
- "O": [ 585 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8176": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 176
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 46 ],
- "I2": [ 49 ],
- "I3": [ "0" ],
- "O": [ 588 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8177": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16384
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 589 ],
- "I1": [ 85 ],
- "I2": [ 67 ],
- "I3": [ 66 ],
- "O": [ 586 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8178": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 64
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 89 ],
- "I1": [ 147 ],
- "I2": [ 68 ],
- "I3": [ "0" ],
- "O": [ 589 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8179": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 62719
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 67 ],
- "I1": [ 590 ],
- "I2": [ 586 ],
- "I3": [ 339 ],
- "O": [ 591 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8180": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 85 ],
- "I1": [ 66 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 590 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8181": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 51712
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ 102 ],
- "I2": [ 247 ],
- "I3": [ 148 ],
- "O": [ 593 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8182": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 594 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 595 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8183": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 596 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 597 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8184": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 598 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 599 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8185": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 600 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 601 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8186": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 602 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 603 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8187": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 604 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 605 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8188": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 84 ],
- "I1": [ 606 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 607 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8189": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 141 ],
- "I1": [ 608 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 609 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8190": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 96
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 93 ],
- "I1": [ 94 ],
- "I2": [ 141 ],
- "I3": [ "0" ],
- "O": [ 610 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8191": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 141 ],
- "I1": [ 611 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 612 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8192": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 7
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 188 ],
- "I1": [ 263 ],
- "I2": [ 613 ],
- "I3": [ "0" ],
- "O": [ 614 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8193": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 16
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 578 ],
- "I1": [ 173 ],
- "I2": [ 176 ],
- "I3": [ "0" ],
- "O": [ 613 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8194": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 191
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 175 ],
- "I1": [ 578 ],
- "I2": [ 209 ],
- "I3": [ "0" ],
- "O": [ 615 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8195": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 8
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 198 ],
- "I1": [ 616 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 617 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8196": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 4
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 616 ],
- "I1": [ 198 ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 618 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8197": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 619 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 620 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8198": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 621 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 622 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8199": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 623 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 624 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8200": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 625 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 626 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8201": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 627 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 628 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8202": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 629 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 630 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8203": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 631 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 632 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8204": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 633 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 634 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8205": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 635 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 636 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8206": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 637 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 638 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8207": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 639 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 640 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8208": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 641 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 642 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8209": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 61 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 643 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8210": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 241 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 644 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8211": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 118 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 645 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8212": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 331 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 646 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8213": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 62 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 647 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8214": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 63 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 648 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8215": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 64 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 649 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8216": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 57 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 650 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8217": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 58 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 651 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8218": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 59 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 652 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8219": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 60 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 653 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8220": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 54 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 654 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8221": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 55 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 655 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8222": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 56 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 656 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8223": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 78 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 657 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8224": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 77 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 658 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8225": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 76 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 659 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8226": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 75 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 660 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8227": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 81 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 661 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8228": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 80 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 662 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8229": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 79 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 663 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8230": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 664 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 665 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8231": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 666 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 667 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8232": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 668 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 669 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8233": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 670 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 671 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8234": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 163 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 672 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8235": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 217 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 673 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8236": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 592 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 674 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8237": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 675 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 676 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8238": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 82 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 677 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8239": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 189 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 678 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8240": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 518 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 679 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8241": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 616 ],
- "I1": [ "0" ],
- "I2": [ "0" ],
- "I3": [ "0" ],
- "O": [ 680 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8244": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3908
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 181 ],
- "I1": [ 187 ],
- "I2": [ 578 ],
- "I3": [ 188 ],
- "O": [ 681 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8245": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 15530
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 681 ],
- "I1": [ 187 ],
- "I2": [ 188 ],
- "I3": [ 186 ],
- "O": [ 682 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8246": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 58224
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 48 ],
- "I1": [ 65 ],
- "I2": [ 67 ],
- "I3": [ 40 ],
- "O": [ 683 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8247": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 3187
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 45 ],
- "I1": [ 683 ],
- "I2": [ 66 ],
- "I3": [ 67 ],
- "O": [ 684 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8248": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 256
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 86 ],
- "I1": [ 83 ],
- "I2": [ 122 ],
- "I3": [ 584 ],
- "O": [ 685 ]
- }
- },
- "$abc$7863$auto$blifparse.cc:492:parse_blif$8249": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 143
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ 68 ],
- "I1": [ 684 ],
- "I2": [ 685 ],
- "I3": [ 87 ],
- "O": [ 686 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 663 ],
- "CO": [ 687 ],
- "I0": [ "1" ],
- "I1": [ 677 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 687 ],
- "CO": [ 688 ],
- "I0": [ "0" ],
- "I1": [ 662 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 688 ],
- "CO": [ 689 ],
- "I0": [ "0" ],
- "I1": [ 661 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 689 ],
- "CO": [ 690 ],
- "I0": [ "0" ],
- "I1": [ 660 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 690 ],
- "CO": [ 691 ],
- "I0": [ "0" ],
- "I1": [ 659 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 691 ],
- "CO": [ 692 ],
- "I0": [ "0" ],
- "I1": [ 658 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 692 ],
- "CO": [ 74 ],
- "I0": [ "0" ],
- "I1": [ 657 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 231 ],
- "I3": [ "0" ],
- "O": [ 693 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 231 ],
- "CO": [ 694 ],
- "I0": [ "0" ],
- "I1": [ 664 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 695 ],
- "I3": [ 694 ],
- "O": [ 696 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 694 ],
- "CO": [ 697 ],
- "I0": [ "0" ],
- "I1": [ 695 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 698 ],
- "I3": [ 697 ],
- "O": [ 699 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 697 ],
- "CO": [ 700 ],
- "I0": [ "0" ],
- "I1": [ 698 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 701 ],
- "I3": [ 700 ],
- "O": [ 702 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 700 ],
- "CO": [ 703 ],
- "I0": [ "0" ],
- "I1": [ 701 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 704 ],
- "I3": [ 703 ],
- "O": [ 705 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 703 ],
- "CO": [ 706 ],
- "I0": [ "0" ],
- "I1": [ 704 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 707 ],
- "I3": [ 706 ],
- "O": [ 708 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 706 ],
- "CO": [ 709 ],
- "I0": [ "0" ],
- "I1": [ 707 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 710 ],
- "I3": [ 709 ],
- "O": [ 711 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 709 ],
- "CO": [ 712 ],
- "I0": [ "0" ],
- "I1": [ 710 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 713 ],
- "I3": [ 712 ],
- "O": [ 714 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 211 ],
- "I3": [ "0" ],
- "O": [ 433 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 211 ],
- "CO": [ 715 ],
- "I0": [ "0" ],
- "I1": [ 217 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 218 ],
- "I3": [ 715 ],
- "O": [ 436 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 715 ],
- "CO": [ 716 ],
- "I0": [ "0" ],
- "I1": [ 218 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 219 ],
- "I3": [ 716 ],
- "O": [ 439 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 716 ],
- "CO": [ 717 ],
- "I0": [ "0" ],
- "I1": [ 219 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 220 ],
- "I3": [ 717 ],
- "O": [ 442 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 717 ],
- "CO": [ 718 ],
- "I0": [ "0" ],
- "I1": [ 220 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 214 ],
- "I3": [ 718 ],
- "O": [ 445 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 718 ],
- "CO": [ 719 ],
- "I0": [ "0" ],
- "I1": [ 214 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 215 ],
- "I3": [ 719 ],
- "O": [ 448 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 719 ],
- "CO": [ 720 ],
- "I0": [ "0" ],
- "I1": [ 215 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 216 ],
- "I3": [ 720 ],
- "O": [ 451 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 720 ],
- "CO": [ 721 ],
- "I0": [ "0" ],
- "I1": [ 216 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 210 ],
- "I3": [ 721 ],
- "O": [ 454 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 721 ],
- "CO": [ 722 ],
- "I0": [ "0" ],
- "I1": [ 210 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 203 ],
- "I3": [ 722 ],
- "O": [ 457 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1543.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:301|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 93 ],
- "I3": [ "0" ],
- "O": [ 608 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1543.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:301|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 93 ],
- "CO": [ 723 ],
- "I0": [ "0" ],
- "I1": [ 94 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1543.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:301|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 91 ],
- "I3": [ 723 ],
- "O": [ 611 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 228 ],
- "I3": [ "0" ],
- "O": [ 724 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 228 ],
- "CO": [ 725 ],
- "I0": [ "0" ],
- "I1": [ 666 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 726 ],
- "I3": [ 725 ],
- "O": [ 727 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 725 ],
- "CO": [ 728 ],
- "I0": [ "0" ],
- "I1": [ 726 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 729 ],
- "I3": [ 728 ],
- "O": [ 730 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 728 ],
- "CO": [ 731 ],
- "I0": [ "0" ],
- "I1": [ 729 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 732 ],
- "I3": [ 731 ],
- "O": [ 733 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 731 ],
- "CO": [ 734 ],
- "I0": [ "0" ],
- "I1": [ 732 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 735 ],
- "I3": [ 734 ],
- "O": [ 736 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 734 ],
- "CO": [ 737 ],
- "I0": [ "0" ],
- "I1": [ 735 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 738 ],
- "I3": [ 737 ],
- "O": [ 739 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 737 ],
- "CO": [ 740 ],
- "I0": [ "0" ],
- "I1": [ 738 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 741 ],
- "I3": [ 740 ],
- "O": [ 742 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 740 ],
- "CO": [ 743 ],
- "I0": [ "0" ],
- "I1": [ 741 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 744 ],
- "I3": [ 743 ],
- "O": [ 745 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 194 ],
- "I3": [ "0" ],
- "O": [ 746 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 194 ],
- "CO": [ 747 ],
- "I0": [ "0" ],
- "I1": [ 668 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 748 ],
- "I3": [ 747 ],
- "O": [ 749 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 747 ],
- "CO": [ 750 ],
- "I0": [ "0" ],
- "I1": [ 748 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 751 ],
- "I3": [ 750 ],
- "O": [ 752 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 750 ],
- "CO": [ 753 ],
- "I0": [ "0" ],
- "I1": [ 751 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 754 ],
- "I3": [ 753 ],
- "O": [ 755 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 753 ],
- "CO": [ 756 ],
- "I0": [ "0" ],
- "I1": [ 754 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 757 ],
- "I3": [ 756 ],
- "O": [ 758 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 756 ],
- "CO": [ 759 ],
- "I0": [ "0" ],
- "I1": [ 757 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 760 ],
- "I3": [ 759 ],
- "O": [ 761 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 759 ],
- "CO": [ 762 ],
- "I0": [ "0" ],
- "I1": [ 760 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 763 ],
- "I3": [ 762 ],
- "O": [ 764 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 762 ],
- "CO": [ 765 ],
- "I0": [ "0" ],
- "I1": [ 763 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 766 ],
- "I3": [ 765 ],
- "O": [ 767 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 196 ],
- "I3": [ "0" ],
- "O": [ 768 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 196 ],
- "CO": [ 769 ],
- "I0": [ "0" ],
- "I1": [ 670 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 770 ],
- "I3": [ 769 ],
- "O": [ 771 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 769 ],
- "CO": [ 772 ],
- "I0": [ "0" ],
- "I1": [ 770 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 773 ],
- "I3": [ 772 ],
- "O": [ 774 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 772 ],
- "CO": [ 775 ],
- "I0": [ "0" ],
- "I1": [ 773 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 776 ],
- "I3": [ 775 ],
- "O": [ 777 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 775 ],
- "CO": [ 778 ],
- "I0": [ "0" ],
- "I1": [ 776 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 779 ],
- "I3": [ 778 ],
- "O": [ 780 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 778 ],
- "CO": [ 781 ],
- "I0": [ "0" ],
- "I1": [ 779 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 782 ],
- "I3": [ 781 ],
- "O": [ 783 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 781 ],
- "CO": [ 784 ],
- "I0": [ "0" ],
- "I1": [ 782 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 785 ],
- "I3": [ 784 ],
- "O": [ 786 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 784 ],
- "CO": [ 787 ],
- "I0": [ "0" ],
- "I1": [ 785 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 788 ],
- "I3": [ 787 ],
- "O": [ 789 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "1" ],
- "I2": [ 162 ],
- "I3": [ "0" ],
- "O": [ 461 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 162 ],
- "CO": [ 790 ],
- "I0": [ "0" ],
- "I1": [ 163 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 164 ],
- "I3": [ 790 ],
- "O": [ 464 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 790 ],
- "CO": [ 791 ],
- "I0": [ "0" ],
- "I1": [ 164 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 165 ],
- "I3": [ 791 ],
- "O": [ 467 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 791 ],
- "CO": [ 792 ],
- "I0": [ "0" ],
- "I1": [ 165 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 158 ],
- "I3": [ 792 ],
- "O": [ 470 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 792 ],
- "CO": [ 793 ],
- "I0": [ "0" ],
- "I1": [ 158 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 159 ],
- "I3": [ 793 ],
- "O": [ 473 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 793 ],
- "CO": [ 794 ],
- "I0": [ "0" ],
- "I1": [ 159 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 160 ],
- "I3": [ 794 ],
- "O": [ 476 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 794 ],
- "CO": [ 795 ],
- "I0": [ "0" ],
- "I1": [ 160 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 161 ],
- "I3": [ 795 ],
- "O": [ 479 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 795 ],
- "CO": [ 796 ],
- "I0": [ "0" ],
- "I1": [ 161 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 166 ],
- "I3": [ 796 ],
- "O": [ 482 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 796 ],
- "CO": [ 797 ],
- "I0": [ "0" ],
- "I1": [ 166 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ "0" ],
- "I2": [ 167 ],
- "I3": [ 797 ],
- "O": [ 485 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 111 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 414 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 111 ],
- "CO": [ 798 ],
- "I0": [ 112 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 113 ],
- "I2": [ "1" ],
- "I3": [ 798 ],
- "O": [ 419 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 798 ],
- "CO": [ 799 ],
- "I0": [ 113 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 114 ],
- "I2": [ "1" ],
- "I3": [ 799 ],
- "O": [ 421 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 799 ],
- "CO": [ 800 ],
- "I0": [ 114 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 107 ],
- "I2": [ "1" ],
- "I3": [ 800 ],
- "O": [ 423 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 800 ],
- "CO": [ 801 ],
- "I0": [ 107 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 108 ],
- "I2": [ "1" ],
- "I3": [ 801 ],
- "O": [ 425 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 801 ],
- "CO": [ 802 ],
- "I0": [ 108 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 109 ],
- "I2": [ "1" ],
- "I3": [ 802 ],
- "O": [ 427 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 802 ],
- "CO": [ 803 ],
- "I0": [ 109 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 110 ],
- "I2": [ "1" ],
- "I3": [ 803 ],
- "O": [ 429 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 647 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 625 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 643 ],
- "I2": [ "1" ],
- "I3": [ 804 ],
- "O": [ 619 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 647 ],
- "CO": [ 805 ],
- "I0": [ 648 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 649 ],
- "I2": [ "1" ],
- "I3": [ 805 ],
- "O": [ 627 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 805 ],
- "CO": [ 806 ],
- "I0": [ 649 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 650 ],
- "I2": [ "1" ],
- "I3": [ 806 ],
- "O": [ 629 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 806 ],
- "CO": [ 807 ],
- "I0": [ 650 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 651 ],
- "I2": [ "1" ],
- "I3": [ 807 ],
- "O": [ 631 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 807 ],
- "CO": [ 808 ],
- "I0": [ 651 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 652 ],
- "I2": [ "1" ],
- "I3": [ 808 ],
- "O": [ 633 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 808 ],
- "CO": [ 809 ],
- "I0": [ 652 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 653 ],
- "I2": [ "1" ],
- "I3": [ 809 ],
- "O": [ 635 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 809 ],
- "CO": [ 810 ],
- "I0": [ 653 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 654 ],
- "I2": [ "1" ],
- "I3": [ 810 ],
- "O": [ 637 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 810 ],
- "CO": [ 811 ],
- "I0": [ 654 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 655 ],
- "I2": [ "1" ],
- "I3": [ 811 ],
- "O": [ 639 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 811 ],
- "CO": [ 812 ],
- "I0": [ 655 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 656 ],
- "I2": [ "1" ],
- "I3": [ 812 ],
- "O": [ 641 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 812 ],
- "CO": [ 804 ],
- "I0": [ 656 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 162 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 460 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 162 ],
- "CO": [ 813 ],
- "I0": [ 163 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 164 ],
- "I2": [ "1" ],
- "I3": [ 813 ],
- "O": [ 463 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 813 ],
- "CO": [ 814 ],
- "I0": [ 164 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 165 ],
- "I2": [ "1" ],
- "I3": [ 814 ],
- "O": [ 466 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 814 ],
- "CO": [ 815 ],
- "I0": [ 165 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 158 ],
- "I2": [ "1" ],
- "I3": [ 815 ],
- "O": [ 469 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 815 ],
- "CO": [ 816 ],
- "I0": [ 158 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 159 ],
- "I2": [ "1" ],
- "I3": [ 816 ],
- "O": [ 472 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 816 ],
- "CO": [ 817 ],
- "I0": [ 159 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 160 ],
- "I2": [ "1" ],
- "I3": [ 817 ],
- "O": [ 475 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 817 ],
- "CO": [ 818 ],
- "I0": [ 160 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 161 ],
- "I2": [ "1" ],
- "I3": [ 818 ],
- "O": [ 478 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 818 ],
- "CO": [ 819 ],
- "I0": [ 161 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 166 ],
- "I2": [ "1" ],
- "I3": [ 819 ],
- "O": [ 481 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 819 ],
- "CO": [ 820 ],
- "I0": [ 166 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 167 ],
- "I2": [ "1" ],
- "I3": [ 820 ],
- "O": [ 484 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 211 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 432 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 211 ],
- "CO": [ 821 ],
- "I0": [ 217 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 218 ],
- "I2": [ "1" ],
- "I3": [ 821 ],
- "O": [ 435 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 821 ],
- "CO": [ 822 ],
- "I0": [ 218 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 219 ],
- "I2": [ "1" ],
- "I3": [ 822 ],
- "O": [ 438 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 822 ],
- "CO": [ 823 ],
- "I0": [ 219 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 220 ],
- "I2": [ "1" ],
- "I3": [ 823 ],
- "O": [ 441 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 823 ],
- "CO": [ 824 ],
- "I0": [ 220 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 214 ],
- "I2": [ "1" ],
- "I3": [ 824 ],
- "O": [ 444 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 824 ],
- "CO": [ 825 ],
- "I0": [ 214 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 215 ],
- "I2": [ "1" ],
- "I3": [ 825 ],
- "O": [ 447 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 825 ],
- "CO": [ 826 ],
- "I0": [ 215 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 216 ],
- "I2": [ "1" ],
- "I3": [ 826 ],
- "O": [ 450 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 826 ],
- "CO": [ 827 ],
- "I0": [ 216 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 210 ],
- "I2": [ "1" ],
- "I3": [ 827 ],
- "O": [ 453 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 827 ],
- "CO": [ 828 ],
- "I0": [ 210 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 203 ],
- "I2": [ "1" ],
- "I3": [ 828 ],
- "O": [ 456 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 316 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 357 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[10].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 326 ],
- "I2": [ "1" ],
- "I3": [ 829 ],
- "O": [ 393 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[10].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 829 ],
- "CO": [ 830 ],
- "I0": [ 326 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[11].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 327 ],
- "I2": [ "1" ],
- "I3": [ 830 ],
- "O": [ 394 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[11].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 830 ],
- "CO": [ 831 ],
- "I0": [ 327 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[12].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 320 ],
- "I2": [ "1" ],
- "I3": [ 831 ],
- "O": [ 401 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[12].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 831 ],
- "CO": [ 832 ],
- "I0": [ 320 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[13].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 321 ],
- "I2": [ "1" ],
- "I3": [ 832 ],
- "O": [ 405 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[13].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 832 ],
- "CO": [ 833 ],
- "I0": [ 321 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[14].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 322 ],
- "I2": [ "1" ],
- "I3": [ 833 ],
- "O": [ 406 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[14].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 833 ],
- "CO": [ 834 ],
- "I0": [ 322 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[15].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 323 ],
- "I2": [ "1" ],
- "I3": [ 834 ],
- "O": [ 413 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 316 ],
- "CO": [ 835 ],
- "I0": [ 317 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 318 ],
- "I2": [ "1" ],
- "I3": [ 835 ],
- "O": [ 364 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[2].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 835 ],
- "CO": [ 836 ],
- "I0": [ 318 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[3].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 319 ],
- "I2": [ "1" ],
- "I3": [ 836 ],
- "O": [ 367 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[3].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 836 ],
- "CO": [ 837 ],
- "I0": [ 319 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[4].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 312 ],
- "I2": [ "1" ],
- "I3": [ 837 ],
- "O": [ 370 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[4].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 837 ],
- "CO": [ 838 ],
- "I0": [ 312 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[5].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 313 ],
- "I2": [ "1" ],
- "I3": [ 838 ],
- "O": [ 373 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[5].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 838 ],
- "CO": [ 839 ],
- "I0": [ 313 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[6].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 314 ],
- "I2": [ "1" ],
- "I3": [ 839 ],
- "O": [ 376 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[6].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 839 ],
- "CO": [ 840 ],
- "I0": [ 314 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[7].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 315 ],
- "I2": [ "1" ],
- "I3": [ 840 ],
- "O": [ 379 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[7].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 840 ],
- "CO": [ 841 ],
- "I0": [ 315 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[8].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 324 ],
- "I2": [ "1" ],
- "I3": [ 841 ],
- "O": [ 384 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[8].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 841 ],
- "CO": [ 842 ],
- "I0": [ 324 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[9].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 325 ],
- "I2": [ "1" ],
- "I3": [ 842 ],
- "O": [ 389 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.slice[9].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 842 ],
- "CO": [ 829 ],
- "I0": [ 325 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1585.slice[0].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:974|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 644 ],
- "I2": [ "0" ],
- "I3": [ "1" ],
- "O": [ 97 ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1585.slice[1].carry": {
- "hide_name": 1,
- "type": "SB_CARRY",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:974|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
- },
- "port_directions": {
- "CI": "input",
- "CO": "output",
- "I0": "input",
- "I1": "input"
- },
- "connections": {
- "CI": [ 644 ],
- "CO": [ 843 ],
- "I0": [ 645 ],
- "I1": [ "1" ]
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1585.slice[2].adder": {
- "hide_name": 1,
- "type": "SB_LUT4",
- "parameters": {
- "LUT_INIT": 27030
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:974|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
- },
- "port_directions": {
- "I0": "input",
- "I1": "input",
- "I2": "input",
- "I3": "input",
- "O": "output"
- },
- "connections": {
- "I0": [ "0" ],
- "I1": [ 646 ],
- "I2": [ "1" ],
- "I3": [ 843 ],
- "O": [ 120 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2850": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 513 ],
- "E": [ 617 ],
- "Q": [ 516 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2851": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 520 ],
- "E": [ 617 ],
- "Q": [ 524 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2852": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 526 ],
- "E": [ 617 ],
- "Q": [ 530 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2853": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 532 ],
- "E": [ 617 ],
- "Q": [ 536 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2854": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 538 ],
- "E": [ 617 ],
- "Q": [ 542 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2855": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 544 ],
- "E": [ 617 ],
- "Q": [ 548 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2856": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 550 ],
- "E": [ 617 ],
- "Q": [ 554 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$2857": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 556 ],
- "E": [ 617 ],
- "Q": [ 560 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3208": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 513 ],
- "E": [ 618 ],
- "Q": [ 517 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3209": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 520 ],
- "E": [ 618 ],
- "Q": [ 523 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3210": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 526 ],
- "E": [ 618 ],
- "Q": [ 529 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3211": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 532 ],
- "E": [ 618 ],
- "Q": [ 535 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3212": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 538 ],
- "E": [ 618 ],
- "Q": [ 541 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3213": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 544 ],
- "E": [ 618 ],
- "Q": [ 547 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3214": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 550 ],
- "E": [ 618 ],
- "Q": [ 553 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3215": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 556 ],
- "E": [ 618 ],
- "Q": [ 559 ],
- "R": [ 200 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3453": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 561 ],
- "E": [ 123 ],
- "Q": [ 79 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3454": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 562 ],
- "E": [ 123 ],
- "Q": [ 82 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3455": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 563 ],
- "E": [ 123 ],
- "Q": [ 80 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3456": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 564 ],
- "E": [ 123 ],
- "Q": [ 81 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3457": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 565 ],
- "E": [ 123 ],
- "Q": [ 75 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3458": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 566 ],
- "E": [ 123 ],
- "Q": [ 76 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3459": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 567 ],
- "E": [ 123 ],
- "Q": [ 77 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3460": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 568 ],
- "E": [ 123 ],
- "Q": [ 78 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3461": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 43 ],
- "E": [ 128 ],
- "Q": [ 845 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3462": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 95 ],
- "Q": [ 65 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3463": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 96 ],
- "Q": [ 88 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3464": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 609 ],
- "E": [ 143 ],
- "Q": [ 93 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3465": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 610 ],
- "E": [ 143 ],
- "Q": [ 94 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3466": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 612 ],
- "E": [ 143 ],
- "Q": [ 91 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3467": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 569 ],
- "E": [ 145 ],
- "Q": [ 48 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3468": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 570 ],
- "E": [ 145 ],
- "Q": [ 137 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3469": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 571 ],
- "E": [ 145 ],
- "Q": [ 138 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3470": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 572 ],
- "E": [ 145 ],
- "Q": [ 139 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3471": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 573 ],
- "E": [ 145 ],
- "Q": [ 136 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3472": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 574 ],
- "E": [ 145 ],
- "Q": [ 140 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3473": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 575 ],
- "E": [ 145 ],
- "Q": [ 133 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3474": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 576 ],
- "E": [ 145 ],
- "Q": [ 134 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3475": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 593 ],
- "E": [ 149 ],
- "Q": [ 594 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3476": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 595 ],
- "E": [ 149 ],
- "Q": [ 596 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3477": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 597 ],
- "E": [ 149 ],
- "Q": [ 598 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3478": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 599 ],
- "E": [ 149 ],
- "Q": [ 600 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3479": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 601 ],
- "E": [ 149 ],
- "Q": [ 602 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3480": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 603 ],
- "E": [ 149 ],
- "Q": [ 604 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3481": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 605 ],
- "E": [ 149 ],
- "Q": [ 606 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3482": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 607 ],
- "E": [ 149 ],
- "Q": [ 39 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3483": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 122 ],
- "Q": [ 69 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3484": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 79 ],
- "E": [ 151 ],
- "Q": [ 255 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3485": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 82 ],
- "E": [ 151 ],
- "Q": [ 256 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3486": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 80 ],
- "E": [ 151 ],
- "Q": [ 257 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3487": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 81 ],
- "E": [ 151 ],
- "Q": [ 258 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3488": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 75 ],
- "E": [ 151 ],
- "Q": [ 251 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3489": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 76 ],
- "E": [ 151 ],
- "Q": [ 252 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3490": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 77 ],
- "E": [ 151 ],
- "Q": [ 253 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3491": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 78 ],
- "E": [ 151 ],
- "Q": [ 254 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3492": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 156 ],
- "E": [ 170 ],
- "Q": [ 157 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3493": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 462 ],
- "E": [ 191 ],
- "Q": [ 162 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3494": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 672 ],
- "E": [ 192 ],
- "Q": [ 163 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3495": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 465 ],
- "E": [ 191 ],
- "Q": [ 164 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3496": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 468 ],
- "E": [ 191 ],
- "Q": [ 165 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3497": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 471 ],
- "E": [ 191 ],
- "Q": [ 158 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3498": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 474 ],
- "E": [ 191 ],
- "Q": [ 159 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3499": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 477 ],
- "E": [ 191 ],
- "Q": [ 160 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3500": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 480 ],
- "E": [ 191 ],
- "Q": [ 161 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3501": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 483 ],
- "E": [ 191 ],
- "Q": [ 166 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3502": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 486 ],
- "E": [ 191 ],
- "Q": [ 167 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3503": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 746 ],
- "E": [ 193 ],
- "Q": [ 194 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3504": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 669 ],
- "E": [ 195 ],
- "Q": [ 668 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3505": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 749 ],
- "E": [ 193 ],
- "Q": [ 748 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3506": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 752 ],
- "E": [ 193 ],
- "Q": [ 751 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3507": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 755 ],
- "E": [ 193 ],
- "Q": [ 754 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3508": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 758 ],
- "E": [ 193 ],
- "Q": [ 757 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3509": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 761 ],
- "E": [ 193 ],
- "Q": [ 760 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3510": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 764 ],
- "E": [ 193 ],
- "Q": [ 763 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3511": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 767 ],
- "E": [ 193 ],
- "Q": [ 766 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3512": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 768 ],
- "E": [ 168 ],
- "Q": [ 196 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3513": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 671 ],
- "E": [ 197 ],
- "Q": [ 670 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3514": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 771 ],
- "E": [ 168 ],
- "Q": [ 770 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3515": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 774 ],
- "E": [ 168 ],
- "Q": [ 773 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3516": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 777 ],
- "E": [ 168 ],
- "Q": [ 776 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3517": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 780 ],
- "E": [ 168 ],
- "Q": [ 779 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3518": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 783 ],
- "E": [ 168 ],
- "Q": [ 782 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3519": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 786 ],
- "E": [ 168 ],
- "Q": [ 785 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3520": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 789 ],
- "E": [ 168 ],
- "Q": [ 788 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3521": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 678 ],
- "E": [ 201 ],
- "Q": [ 189 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3522": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 459 ],
- "E": [ 201 ],
- "Q": [ 190 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3523": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 680 ],
- "E": [ 199 ],
- "Q": [ 616 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3524": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 679 ],
- "E": [ 202 ],
- "Q": [ 518 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3525": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 207 ],
- "E": [ 221 ],
- "Q": [ 206 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3526": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 434 ],
- "E": [ 225 ],
- "Q": [ 211 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3527": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 673 ],
- "E": [ 226 ],
- "Q": [ 217 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3528": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 437 ],
- "E": [ 225 ],
- "Q": [ 218 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3529": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 440 ],
- "E": [ 225 ],
- "Q": [ 219 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3530": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 443 ],
- "E": [ 225 ],
- "Q": [ 220 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3531": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 446 ],
- "E": [ 225 ],
- "Q": [ 214 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3532": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 449 ],
- "E": [ 225 ],
- "Q": [ 215 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3533": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 452 ],
- "E": [ 225 ],
- "Q": [ 216 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3534": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 455 ],
- "E": [ 225 ],
- "Q": [ 210 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3535": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 458 ],
- "E": [ 225 ],
- "Q": [ 203 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3536": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 724 ],
- "E": [ 227 ],
- "Q": [ 228 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3537": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 667 ],
- "E": [ 229 ],
- "Q": [ 666 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3538": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 727 ],
- "E": [ 227 ],
- "Q": [ 726 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3539": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 730 ],
- "E": [ 227 ],
- "Q": [ 729 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3540": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 733 ],
- "E": [ 227 ],
- "Q": [ 732 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3541": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 736 ],
- "E": [ 227 ],
- "Q": [ 735 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3542": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 739 ],
- "E": [ 227 ],
- "Q": [ 738 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3543": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 742 ],
- "E": [ 227 ],
- "Q": [ 741 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3544": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 745 ],
- "E": [ 227 ],
- "Q": [ 744 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3545": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 693 ],
- "E": [ 230 ],
- "Q": [ 231 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3546": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 665 ],
- "E": [ 232 ],
- "Q": [ 664 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3547": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 696 ],
- "E": [ 230 ],
- "Q": [ 695 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3548": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 699 ],
- "E": [ 230 ],
- "Q": [ 698 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3549": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 702 ],
- "E": [ 230 ],
- "Q": [ 701 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3550": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 705 ],
- "E": [ 230 ],
- "Q": [ 704 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3551": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 708 ],
- "E": [ 230 ],
- "Q": [ 707 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3552": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 711 ],
- "E": [ 230 ],
- "Q": [ 710 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3553": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 714 ],
- "E": [ 230 ],
- "Q": [ 713 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3554": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 431 ],
- "E": [ 233 ],
- "Q": [ 99 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3555": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 338 ],
- "Q": [ 244 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3556": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 416 ],
- "E": [ 235 ],
- "Q": [ 111 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3557": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 418 ],
- "E": [ 235 ],
- "Q": [ 112 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3558": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 420 ],
- "E": [ 235 ],
- "Q": [ 113 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3559": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 422 ],
- "E": [ 235 ],
- "Q": [ 114 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3560": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 424 ],
- "E": [ 235 ],
- "Q": [ 107 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3561": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 426 ],
- "E": [ 235 ],
- "Q": [ 108 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3562": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 428 ],
- "E": [ 235 ],
- "Q": [ 109 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3563": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 430 ],
- "E": [ 235 ],
- "Q": [ 110 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3564": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 359 ],
- "E": [ 239 ],
- "Q": [ 316 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3565": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 362 ],
- "E": [ 239 ],
- "Q": [ 317 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3566": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 366 ],
- "E": [ 239 ],
- "Q": [ 318 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3567": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 369 ],
- "E": [ 239 ],
- "Q": [ 319 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3568": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 372 ],
- "E": [ 239 ],
- "Q": [ 312 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3569": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 375 ],
- "E": [ 239 ],
- "Q": [ 313 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3570": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 378 ],
- "E": [ 239 ],
- "Q": [ 314 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3571": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 381 ],
- "E": [ 239 ],
- "Q": [ 315 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3572": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 383 ],
- "E": [ 239 ],
- "Q": [ 324 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3573": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 388 ],
- "E": [ 239 ],
- "Q": [ 325 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3574": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 392 ],
- "E": [ 239 ],
- "Q": [ 326 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3575": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 396 ],
- "E": [ 239 ],
- "Q": [ 327 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3576": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 400 ],
- "E": [ 239 ],
- "Q": [ 320 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3577": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 404 ],
- "E": [ 239 ],
- "Q": [ 321 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3578": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 408 ],
- "E": [ 239 ],
- "Q": [ 322 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3579": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 412 ],
- "E": [ 239 ],
- "Q": [ 323 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3580": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 101 ],
- "E": [ 240 ],
- "Q": [ 241 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3581": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 119 ],
- "E": [ 242 ],
- "Q": [ 118 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3582": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 121 ],
- "E": [ 240 ],
- "Q": [ 331 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3583": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 302 ],
- "E": [ 243 ],
- "Q": [ 276 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3584": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 274 ],
- "E": [ 245 ],
- "Q": [ 280 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3585": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 278 ],
- "E": [ 245 ],
- "Q": [ 284 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3586": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 282 ],
- "E": [ 245 ],
- "Q": [ 288 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3587": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 286 ],
- "E": [ 245 ],
- "Q": [ 292 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3588": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 290 ],
- "E": [ 245 ],
- "Q": [ 296 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3589": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 294 ],
- "E": [ 245 ],
- "Q": [ 300 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3590": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 298 ],
- "E": [ 245 ],
- "Q": [ 846 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3591": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 342 ],
- "E": [ 246 ],
- "Q": [ 343 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3592": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 344 ],
- "E": [ 246 ],
- "Q": [ 345 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3593": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 346 ],
- "E": [ 246 ],
- "Q": [ 347 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3594": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 348 ],
- "E": [ 246 ],
- "Q": [ 349 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3595": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 350 ],
- "E": [ 246 ],
- "Q": [ 351 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3596": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 352 ],
- "E": [ 246 ],
- "Q": [ 353 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3597": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 354 ],
- "E": [ 246 ],
- "Q": [ 355 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3598": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 356 ],
- "E": [ 246 ],
- "Q": [ 510 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3599": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 79 ],
- "E": [ 248 ],
- "Q": [ 102 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3600": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 79 ],
- "E": [ 259 ],
- "Q": [ 592 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3601": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 686 ],
- "Q": [ 68 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3602": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 587 ],
- "Q": [ 67 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3603": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 591 ],
- "Q": [ 66 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3604": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 340 ],
- "Q": [ 70 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3605": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 581 ],
- "E": [ 260 ],
- "Q": [ 180 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3606": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 582 ],
- "E": [ 260 ],
- "Q": [ 181 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3607": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 261 ],
- "E": [ 262 ],
- "Q": [ 847 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3608": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 264 ],
- "E": [ 265 ],
- "Q": [ 675 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3609": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 577 ],
- "E": [ 269 ],
- "Q": [ 186 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3610": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 580 ],
- "E": [ 269 ],
- "Q": [ 187 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3611": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 682 ],
- "E": [ 269 ],
- "Q": [ 188 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3612": {
- "hide_name": 1,
- "type": "SB_DFFESR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 306 ],
- "E": [ 271 ],
- "Q": [ 115 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3613": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 333 ],
- "Q": [ 116 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3614": {
- "hide_name": 1,
- "type": "SB_DFFSR",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output",
- "R": "input"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 337 ],
- "Q": [ 117 ],
- "R": [ 50 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3615": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 622 ],
- "Q": [ 848 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3616": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 848 ],
- "Q": [ 40 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3617": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 624 ],
- "Q": [ 849 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3618": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 849 ],
- "Q": [ 89 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3619": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 850 ],
- "Q": [ 851 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3620": {
- "hide_name": 1,
- "type": "SB_DFF",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1137|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 851 ],
- "Q": [ 341 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3621": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 626 ],
- "E": [ 50 ],
- "Q": [ 62 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3622": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 648 ],
- "E": [ 272 ],
- "Q": [ 63 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3623": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 628 ],
- "E": [ 50 ],
- "Q": [ 64 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3624": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 630 ],
- "E": [ 50 ],
- "Q": [ 57 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3625": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 632 ],
- "E": [ 50 ],
- "Q": [ 58 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3626": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 634 ],
- "E": [ 50 ],
- "Q": [ 59 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3627": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 636 ],
- "E": [ 50 ],
- "Q": [ 60 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3628": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 638 ],
- "E": [ 50 ],
- "Q": [ 54 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3629": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 640 ],
- "E": [ 50 ],
- "Q": [ 55 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3630": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 642 ],
- "E": [ 50 ],
- "Q": [ 56 ]
- }
- },
- "$auto$simplemap.cc:420:simplemap_dff$3631": {
- "hide_name": 1,
- "type": "SB_DFFE",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1131|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
- },
- "port_directions": {
- "C": "input",
- "D": "input",
- "E": "input",
- "Q": "output"
- },
- "connections": {
- "C": [ 844 ],
- "D": [ 620 ],
- "E": [ 50 ],
- "Q": [ 61 ]
- }
- },
- "SB_GB": {
- "hide_name": 0,
- "type": "SB_GB",
- "parameters": {
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1341"
- },
- "port_directions": {
- "GLOBAL_BUFFER_OUTPUT": "output",
- "USER_SIGNAL_TO_GLOBAL_BUFFER": "input"
- },
- "connections": {
- "GLOBAL_BUFFER_OUTPUT": [ 844 ],
- "USER_SIGNAL_TO_GLOBAL_BUFFER": [ 852 ]
- }
- },
- "SB_IO": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1334"
- },
- "port_directions": {
- "D_IN_0": "output",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 852 ],
- "PACKAGE_PIN": [ 2 ]
- }
- },
- "SB_IO_1": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1346"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 853 ],
- "D_OUT_0": [ 180 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 9 ]
- }
- },
- "SB_IO_10": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1445"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 531 ],
- "D_OUT_0": [ 494 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 18 ]
- }
- },
- "SB_IO_11": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1456"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 537 ],
- "D_OUT_0": [ 496 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 19 ]
- }
- },
- "SB_IO_12": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1467"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 543 ],
- "D_OUT_0": [ 498 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 20 ]
- }
- },
- "SB_IO_13": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1478"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 549 ],
- "D_OUT_0": [ 500 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 21 ]
- }
- },
- "SB_IO_14": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1489"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 555 ],
- "D_OUT_0": [ 502 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 22 ]
- }
- },
- "SB_IO_15": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1500"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 854 ],
- "D_OUT_0": [ 676 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 5 ]
- }
- },
- "SB_IO_16": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1511"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 855 ],
- "D_OUT_0": [ 614 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 6 ]
- }
- },
- "SB_IO_17": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1522"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 856 ],
- "D_OUT_0": [ 205 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 7 ]
- }
- },
- "SB_IO_18": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1533"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 857 ],
- "D_OUT_0": [ 615 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 8 ]
- }
- },
- "SB_IO_19": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1544"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 858 ],
- "D_OUT_0": [ 674 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 23 ]
- }
- },
- "SB_IO_2": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1357"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 859 ],
- "D_OUT_0": [ 181 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "1" ],
- "PACKAGE_PIN": [ 10 ]
- }
- },
- "SB_IO_20": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1553"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 860 ],
- "D_OUT_0": [ 99 ],
- "OUTPUT_ENABLE": [ 592 ],
- "PACKAGE_PIN": [ 24 ]
- }
- },
- "SB_IO_21": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1562"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 850 ],
- "D_OUT_0": [ "0" ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 25 ]
- }
- },
- "SB_IO_22": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1571"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 861 ],
- "D_OUT_0": [ 846 ],
- "OUTPUT_ENABLE": [ 592 ],
- "PACKAGE_PIN": [ 26 ]
- }
- },
- "SB_IO_23": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1628"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 621 ],
- "D_OUT_0": [ "0" ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 3 ]
- }
- },
- "SB_IO_24": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 41
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1637"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 623 ],
- "D_OUT_0": [ "0" ],
- "OUTPUT_ENABLE": [ 845 ],
- "PACKAGE_PIN": [ 4 ]
- }
- },
- "SB_IO_3": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1368"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 182 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 11 ]
- }
- },
- "SB_IO_4": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1379"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 184 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 12 ]
- }
- },
- "SB_IO_5": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1390"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 183 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 13 ]
- }
- },
- "SB_IO_6": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1401"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 185 ],
- "D_OUT_0": [ "0" ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ "0" ],
- "PACKAGE_PIN": [ 14 ]
- }
- },
- "SB_IO_7": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1412"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 512 ],
- "D_OUT_0": [ 488 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 15 ]
- }
- },
- "SB_IO_8": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1423"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 519 ],
- "D_OUT_0": [ 490 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 16 ]
- }
- },
- "SB_IO_9": {
- "hide_name": 0,
- "type": "SB_IO",
- "parameters": {
- "PIN_TYPE": 52
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "top.v:1434"
- },
- "port_directions": {
- "D_IN_0": "output",
- "D_OUT_0": "input",
- "INPUT_CLK": "input",
- "OUTPUT_CLK": "input",
- "OUTPUT_ENABLE": "input",
- "PACKAGE_PIN": "inout"
- },
- "connections": {
- "D_IN_0": [ 525 ],
- "D_OUT_0": [ 492 ],
- "INPUT_CLK": [ 844 ],
- "OUTPUT_CLK": [ 844 ],
- "OUTPUT_ENABLE": [ 847 ],
- "PACKAGE_PIN": [ 17 ]
- }
- },
- "storage.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 196, 670, 770, 773, 776, 779, 782, 785, 788, "0", "0" ],
- "RCLK": [ 844 ],
- "RCLKE": [ 156 ],
- "RDATA": [ 301, 862, 275, 863, 279, 864, 283, 865, 287, 866, 291, 867, 295, 868, 299, 869 ],
- "RE": [ "1" ],
- "WADDR": [ 194, 668, 748, 751, 754, 757, 760, 763, 766, "0", "0" ],
- "WCLK": [ 844 ],
- "WCLKE": [ 174 ],
- "WDATA": [ 515, "x", 522, "x", 528, "x", 534, "x", 540, "x", 546, "x", 552, "x", 558, "x" ],
- "WE": [ "1" ]
- }
- },
- "storage_2.0.0.0": {
- "hide_name": 0,
- "type": "SB_RAM40_4K",
- "parameters": {
- "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
- "READ_MODE": 1,
- "WRITE_MODE": 1
- },
- "attributes": {
- "module_not_derived": 1,
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
- },
- "port_directions": {
- "MASK": "input",
- "RADDR": "input",
- "RCLK": "input",
- "RCLKE": "input",
- "RDATA": "output",
- "RE": "input",
- "WADDR": "input",
- "WCLK": "input",
- "WCLKE": "input",
- "WDATA": "input",
- "WE": "input"
- },
- "connections": {
- "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
- "RADDR": [ 231, 664, 695, 698, 701, 704, 707, 710, 713, "0", "0" ],
- "RCLK": [ 844 ],
- "RCLKE": [ 207 ],
- "RDATA": [ 487, 870, 489, 871, 491, 872, 493, 873, 495, 874, 497, 875, 499, 876, 501, 877 ],
- "RE": [ "1" ],
- "WADDR": [ 228, 666, 726, 729, 732, 735, 738, 741, 744, "0", "0" ],
- "WCLK": [ 844 ],
- "WCLKE": [ 224 ],
- "WDATA": [ 503, "x", 504, "x", 505, "x", 506, "x", 507, "x", 508, "x", 509, "x", 511, "x" ],
- "WE": [ "1" ]
- }
- }
- },
- "netnames": {
- "$0\\applet_reset_delay[10:0]": {
- "hide_name": 1,
- "bits": [ 625, 63, 627, 629, 631, 633, 635, 637, 639, 641, 619 ],
- "attributes": {
- "src": "top.v:1131"
- }
- },
- "$abc$7863$n1": {
- "hide_name": 1,
- "bits": [ 620 ],
- "attributes": {
- }
- },
- "$abc$7863$n1029": {
- "hide_name": 1,
- "bits": [ 200 ],
- "attributes": {
- }
- },
- "$abc$7863$n11": {
- "hide_name": 1,
- "bits": [ 119 ],
- "attributes": {
- }
- },
- "$abc$7863$n13": {
- "hide_name": 1,
- "bits": [ 121 ],
- "attributes": {
- }
- },
- "$abc$7863$n1347": {
- "hide_name": 1,
- "bits": [ 608 ],
- "attributes": {
- }
- },
- "$abc$7863$n1351": {
- "hide_name": 1,
- "bits": [ 611 ],
- "attributes": {
- }
- },
- "$abc$7863$n1419": {
- "hide_name": 1,
- "bits": [ 665 ],
- "attributes": {
- }
- },
- "$abc$7863$n1422": {
- "hide_name": 1,
- "bits": [ 667 ],
- "attributes": {
- }
- },
- "$abc$7863$n1424": {
- "hide_name": 1,
- "bits": [ 669 ],
- "attributes": {
- }
- },
- "$abc$7863$n1426": {
- "hide_name": 1,
- "bits": [ 671 ],
- "attributes": {
- }
- },
- "$abc$7863$n1428": {
- "hide_name": 1,
- "bits": [ 672 ],
- "attributes": {
- }
- },
- "$abc$7863$n1429": {
- "hide_name": 1,
- "bits": [ 673 ],
- "attributes": {
- }
- },
- "$abc$7863$n1445": {
- "hide_name": 1,
- "bits": [ 74 ],
- "attributes": {
- }
- },
- "$abc$7863$n1449": {
- "hide_name": 1,
- "bits": [ 677 ],
- "attributes": {
- }
- },
- "$abc$7863$n1471": {
- "hide_name": 1,
- "bits": [ 617 ],
- "attributes": {
- }
- },
- "$abc$7863$n1472": {
- "hide_name": 1,
- "bits": [ 618 ],
- "attributes": {
- }
- },
- "$abc$7863$n1474": {
- "hide_name": 1,
- "bits": [ 678 ],
- "attributes": {
- }
- },
- "$abc$7863$n1475": {
- "hide_name": 1,
- "bits": [ 679 ],
- "attributes": {
- }
- },
- "$abc$7863$n1476": {
- "hide_name": 1,
- "bits": [ 680 ],
- "attributes": {
- }
- },
- "$abc$7863$n15": {
- "hide_name": 1,
- "bits": [ 622 ],
- "attributes": {
- }
- },
- "$abc$7863$n17": {
- "hide_name": 1,
- "bits": [ 624 ],
- "attributes": {
- }
- },
- "$abc$7863$n19": {
- "hide_name": 1,
- "bits": [ 626 ],
- "attributes": {
- }
- },
- "$abc$7863$n21": {
- "hide_name": 1,
- "bits": [ 628 ],
- "attributes": {
- }
- },
- "$abc$7863$n211": {
- "hide_name": 1,
- "bits": [ 657 ],
- "attributes": {
- }
- },
- "$abc$7863$n212": {
- "hide_name": 1,
- "bits": [ 658 ],
- "attributes": {
- }
- },
- "$abc$7863$n214": {
- "hide_name": 1,
- "bits": [ 659 ],
- "attributes": {
- }
- },
- "$abc$7863$n215": {
- "hide_name": 1,
- "bits": [ 660 ],
- "attributes": {
- }
- },
- "$abc$7863$n217": {
- "hide_name": 1,
- "bits": [ 661 ],
- "attributes": {
- }
- },
- "$abc$7863$n218": {
- "hide_name": 1,
- "bits": [ 662 ],
- "attributes": {
- }
- },
- "$abc$7863$n221": {
- "hide_name": 1,
- "bits": [ 663 ],
- "attributes": {
- }
- },
- "$abc$7863$n23": {
- "hide_name": 1,
- "bits": [ 630 ],
- "attributes": {
- }
- },
- "$abc$7863$n25": {
- "hide_name": 1,
- "bits": [ 632 ],
- "attributes": {
- }
- },
- "$abc$7863$n27": {
- "hide_name": 1,
- "bits": [ 634 ],
- "attributes": {
- }
- },
- "$abc$7863$n29": {
- "hide_name": 1,
- "bits": [ 636 ],
- "attributes": {
- }
- },
- "$abc$7863$n3": {
- "hide_name": 1,
- "bits": [ 43 ],
- "attributes": {
- }
- },
- "$abc$7863$n31": {
- "hide_name": 1,
- "bits": [ 638 ],
- "attributes": {
- }
- },
- "$abc$7863$n33": {
- "hide_name": 1,
- "bits": [ 640 ],
- "attributes": {
- }
- },
- "$abc$7863$n35": {
- "hide_name": 1,
- "bits": [ 642 ],
- "attributes": {
- }
- },
- "$abc$7863$n36": {
- "hide_name": 1,
- "bits": [ 61 ],
- "attributes": {
- }
- },
- "$abc$7863$n38": {
- "hide_name": 1,
- "bits": [ 65 ],
- "attributes": {
- }
- },
- "$abc$7863$n40": {
- "hide_name": 1,
- "bits": [ 88 ],
- "attributes": {
- }
- },
- "$abc$7863$n42": {
- "hide_name": 1,
- "bits": [ 241 ],
- "attributes": {
- }
- },
- "$abc$7863$n44": {
- "hide_name": 1,
- "bits": [ 118 ],
- "attributes": {
- }
- },
- "$abc$7863$n455": {
- "hide_name": 1,
- "bits": [ 123 ],
- "attributes": {
- }
- },
- "$abc$7863$n457": {
- "hide_name": 1,
- "bits": [ 128 ],
- "attributes": {
- }
- },
- "$abc$7863$n459": {
- "hide_name": 1,
- "bits": [ 143 ],
- "attributes": {
- }
- },
- "$abc$7863$n46": {
- "hide_name": 1,
- "bits": [ 331 ],
- "attributes": {
- }
- },
- "$abc$7863$n461": {
- "hide_name": 1,
- "bits": [ 145 ],
- "attributes": {
- }
- },
- "$abc$7863$n463": {
- "hide_name": 1,
- "bits": [ 149 ],
- "attributes": {
- }
- },
- "$abc$7863$n472": {
- "hide_name": 1,
- "bits": [ 151 ],
- "attributes": {
- }
- },
- "$abc$7863$n479": {
- "hide_name": 1,
- "bits": [ 170 ],
- "attributes": {
- }
- },
- "$abc$7863$n48": {
- "hide_name": 1,
- "bits": [ 40 ],
- "attributes": {
- }
- },
- "$abc$7863$n492": {
- "hide_name": 1,
- "bits": [ 191 ],
- "attributes": {
- }
- },
- "$abc$7863$n493": {
- "hide_name": 1,
- "bits": [ 192 ],
- "attributes": {
- }
- },
- "$abc$7863$n496": {
- "hide_name": 1,
- "bits": [ 193 ],
- "attributes": {
- }
- },
- "$abc$7863$n5": {
- "hide_name": 1,
- "bits": [ 95 ],
- "attributes": {
- }
- },
- "$abc$7863$n50": {
- "hide_name": 1,
- "bits": [ 89 ],
- "attributes": {
- }
- },
- "$abc$7863$n501": {
- "hide_name": 1,
- "bits": [ 195 ],
- "attributes": {
- }
- },
- "$abc$7863$n505": {
- "hide_name": 1,
- "bits": [ 168 ],
- "attributes": {
- }
- },
- "$abc$7863$n505_1": {
- "hide_name": 1,
- "bits": [ 42 ],
- "attributes": {
- }
- },
- "$abc$7863$n506": {
- "hide_name": 1,
- "bits": [ 197 ],
- "attributes": {
- }
- },
- "$abc$7863$n506_1": {
- "hide_name": 1,
- "bits": [ 47 ],
- "attributes": {
- }
- },
- "$abc$7863$n508": {
- "hide_name": 1,
- "bits": [ 199 ],
- "attributes": {
- }
- },
- "$abc$7863$n508_1": {
- "hide_name": 1,
- "bits": [ 51 ],
- "attributes": {
- }
- },
- "$abc$7863$n509": {
- "hide_name": 1,
- "bits": [ 52 ],
- "attributes": {
- }
- },
- "$abc$7863$n510": {
- "hide_name": 1,
- "bits": [ 53 ],
- "attributes": {
- }
- },
- "$abc$7863$n511": {
- "hide_name": 1,
- "bits": [ 46 ],
- "attributes": {
- }
- },
- "$abc$7863$n512": {
- "hide_name": 1,
- "bits": [ 49 ],
- "attributes": {
- }
- },
- "$abc$7863$n513": {
- "hide_name": 1,
- "bits": [ 45 ],
- "attributes": {
- }
- },
- "$abc$7863$n514": {
- "hide_name": 1,
- "bits": [ 71 ],
- "attributes": {
- }
- },
- "$abc$7863$n515": {
- "hide_name": 1,
- "bits": [ 201 ],
- "attributes": {
- }
- },
- "$abc$7863$n515_1": {
- "hide_name": 1,
- "bits": [ 73 ],
- "attributes": {
- }
- },
- "$abc$7863$n516": {
- "hide_name": 1,
- "bits": [ 202 ],
- "attributes": {
- }
- },
- "$abc$7863$n516_1": {
- "hide_name": 1,
- "bits": [ 72 ],
- "attributes": {
- }
- },
- "$abc$7863$n517": {
- "hide_name": 1,
- "bits": [ 44 ],
- "attributes": {
- }
- },
- "$abc$7863$n518": {
- "hide_name": 1,
- "bits": [ 41 ],
- "attributes": {
- }
- },
- "$abc$7863$n519_1": {
- "hide_name": 1,
- "bits": [ 85 ],
- "attributes": {
- }
- },
- "$abc$7863$n52": {
- "hide_name": 1,
- "bits": [ 62 ],
- "attributes": {
- }
- },
- "$abc$7863$n520": {
- "hide_name": 1,
- "bits": [ 221 ],
- "attributes": {
- }
- },
- "$abc$7863$n520_1": {
- "hide_name": 1,
- "bits": [ 86 ],
- "attributes": {
- }
- },
- "$abc$7863$n521": {
- "hide_name": 1,
- "bits": [ 87 ],
- "attributes": {
- }
- },
- "$abc$7863$n522": {
- "hide_name": 1,
- "bits": [ 84 ],
- "attributes": {
- }
- },
- "$abc$7863$n523_1": {
- "hide_name": 1,
- "bits": [ 90 ],
- "attributes": {
- }
- },
- "$abc$7863$n524": {
- "hide_name": 1,
- "bits": [ 83 ],
- "attributes": {
- }
- },
- "$abc$7863$n525": {
- "hide_name": 1,
- "bits": [ 92 ],
- "attributes": {
- }
- },
- "$abc$7863$n530": {
- "hide_name": 1,
- "bits": [ 100 ],
- "attributes": {
- }
- },
- "$abc$7863$n531": {
- "hide_name": 1,
- "bits": [ 98 ],
- "attributes": {
- }
- },
- "$abc$7863$n532": {
- "hide_name": 1,
- "bits": [ 103 ],
- "attributes": {
- }
- },
- "$abc$7863$n533": {
- "hide_name": 1,
- "bits": [ 105 ],
- "attributes": {
- }
- },
- "$abc$7863$n534": {
- "hide_name": 1,
- "bits": [ 106 ],
- "attributes": {
- }
- },
- "$abc$7863$n535": {
- "hide_name": 1,
- "bits": [ 225 ],
- "attributes": {
- }
- },
- "$abc$7863$n535_1": {
- "hide_name": 1,
- "bits": [ 104 ],
- "attributes": {
- }
- },
- "$abc$7863$n536": {
- "hide_name": 1,
- "bits": [ 226 ],
- "attributes": {
- }
- },
- "$abc$7863$n538": {
- "hide_name": 1,
- "bits": [ 227 ],
- "attributes": {
- }
- },
- "$abc$7863$n540": {
- "hide_name": 1,
- "bits": [ 124 ],
- "attributes": {
- }
- },
- "$abc$7863$n541": {
- "hide_name": 1,
- "bits": [ 125 ],
- "attributes": {
- }
- },
- "$abc$7863$n542": {
- "hide_name": 1,
- "bits": [ 229 ],
- "attributes": {
- }
- },
- "$abc$7863$n543_1": {
- "hide_name": 1,
- "bits": [ 127 ],
- "attributes": {
- }
- },
- "$abc$7863$n544": {
- "hide_name": 1,
- "bits": [ 129 ],
- "attributes": {
- }
- },
- "$abc$7863$n545": {
- "hide_name": 1,
- "bits": [ 130 ],
- "attributes": {
- }
- },
- "$abc$7863$n546": {
- "hide_name": 1,
- "bits": [ 230 ],
- "attributes": {
- }
- },
- "$abc$7863$n546_1": {
- "hide_name": 1,
- "bits": [ 131 ],
- "attributes": {
- }
- },
- "$abc$7863$n547": {
- "hide_name": 1,
- "bits": [ 232 ],
- "attributes": {
- }
- },
- "$abc$7863$n547_1": {
- "hide_name": 1,
- "bits": [ 135 ],
- "attributes": {
- }
- },
- "$abc$7863$n548": {
- "hide_name": 1,
- "bits": [ 132 ],
- "attributes": {
- }
- },
- "$abc$7863$n549": {
- "hide_name": 1,
- "bits": [ 126 ],
- "attributes": {
- }
- },
- "$abc$7863$n550": {
- "hide_name": 1,
- "bits": [ 233 ],
- "attributes": {
- }
- },
- "$abc$7863$n551": {
- "hide_name": 1,
- "bits": [ 141 ],
- "attributes": {
- }
- },
- "$abc$7863$n552": {
- "hide_name": 1,
- "bits": [ 235 ],
- "attributes": {
- }
- },
- "$abc$7863$n552_1": {
- "hide_name": 1,
- "bits": [ 142 ],
- "attributes": {
- }
- },
- "$abc$7863$n553": {
- "hide_name": 1,
- "bits": [ 239 ],
- "attributes": {
- }
- },
- "$abc$7863$n554_1": {
- "hide_name": 1,
- "bits": [ 144 ],
- "attributes": {
- }
- },
- "$abc$7863$n555": {
- "hide_name": 1,
- "bits": [ 146 ],
- "attributes": {
- }
- },
- "$abc$7863$n556": {
- "hide_name": 1,
- "bits": [ 240 ],
- "attributes": {
- }
- },
- "$abc$7863$n556_1": {
- "hide_name": 1,
- "bits": [ 147 ],
- "attributes": {
- }
- },
- "$abc$7863$n558": {
- "hide_name": 1,
- "bits": [ 148 ],
- "attributes": {
- }
- },
- "$abc$7863$n559": {
- "hide_name": 1,
- "bits": [ 150 ],
- "attributes": {
- }
- },
- "$abc$7863$n56": {
- "hide_name": 1,
- "bits": [ 64 ],
- "attributes": {
- }
- },
- "$abc$7863$n562": {
- "hide_name": 1,
- "bits": [ 155 ],
- "attributes": {
- }
- },
- "$abc$7863$n563": {
- "hide_name": 1,
- "bits": [ 153 ],
- "attributes": {
- }
- },
- "$abc$7863$n564": {
- "hide_name": 1,
- "bits": [ 154 ],
- "attributes": {
- }
- },
- "$abc$7863$n565": {
- "hide_name": 1,
- "bits": [ 152 ],
- "attributes": {
- }
- },
- "$abc$7863$n568": {
- "hide_name": 1,
- "bits": [ 242 ],
- "attributes": {
- }
- },
- "$abc$7863$n568_1": {
- "hide_name": 1,
- "bits": [ 169 ],
- "attributes": {
- }
- },
- "$abc$7863$n569": {
- "hide_name": 1,
- "bits": [ 243 ],
- "attributes": {
- }
- },
- "$abc$7863$n570": {
- "hide_name": 1,
- "bits": [ 172 ],
- "attributes": {
- }
- },
- "$abc$7863$n571": {
- "hide_name": 1,
- "bits": [ 175 ],
- "attributes": {
- }
- },
- "$abc$7863$n572": {
- "hide_name": 1,
- "bits": [ 245 ],
- "attributes": {
- }
- },
- "$abc$7863$n572_1": {
- "hide_name": 1,
- "bits": [ 177 ],
- "attributes": {
- }
- },
- "$abc$7863$n573": {
- "hide_name": 1,
- "bits": [ 178 ],
- "attributes": {
- }
- },
- "$abc$7863$n574": {
- "hide_name": 1,
- "bits": [ 179 ],
- "attributes": {
- }
- },
- "$abc$7863$n575": {
- "hide_name": 1,
- "bits": [ 176 ],
- "attributes": {
- }
- },
- "$abc$7863$n576": {
- "hide_name": 1,
- "bits": [ 173 ],
- "attributes": {
- }
- },
- "$abc$7863$n577": {
- "hide_name": 1,
- "bits": [ 171 ],
- "attributes": {
- }
- },
- "$abc$7863$n58": {
- "hide_name": 1,
- "bits": [ 57 ],
- "attributes": {
- }
- },
- "$abc$7863$n580": {
- "hide_name": 1,
- "bits": [ 246 ],
- "attributes": {
- }
- },
- "$abc$7863$n584": {
- "hide_name": 1,
- "bits": [ 198 ],
- "attributes": {
- }
- },
- "$abc$7863$n586": {
- "hide_name": 1,
- "bits": [ 248 ],
- "attributes": {
- }
- },
- "$abc$7863$n590": {
- "hide_name": 1,
- "bits": [ 208 ],
- "attributes": {
- }
- },
- "$abc$7863$n591": {
- "hide_name": 1,
- "bits": [ 259 ],
- "attributes": {
- }
- },
- "$abc$7863$n591_1": {
- "hide_name": 1,
- "bits": [ 209 ],
- "attributes": {
- }
- },
- "$abc$7863$n592": {
- "hide_name": 1,
- "bits": [ 204 ],
- "attributes": {
- }
- },
- "$abc$7863$n593": {
- "hide_name": 1,
- "bits": [ 260 ],
- "attributes": {
- }
- },
- "$abc$7863$n593_1": {
- "hide_name": 1,
- "bits": [ 212 ],
- "attributes": {
- }
- },
- "$abc$7863$n594": {
- "hide_name": 1,
- "bits": [ 213 ],
- "attributes": {
- }
- },
- "$abc$7863$n595": {
- "hide_name": 1,
- "bits": [ 262 ],
- "attributes": {
- }
- },
- "$abc$7863$n597": {
- "hide_name": 1,
- "bits": [ 265 ],
- "attributes": {
- }
- },
- "$abc$7863$n597_1": {
- "hide_name": 1,
- "bits": [ 222 ],
- "attributes": {
- }
- },
- "$abc$7863$n598": {
- "hide_name": 1,
- "bits": [ 223 ],
- "attributes": {
- }
- },
- "$abc$7863$n599": {
- "hide_name": 1,
- "bits": [ 269 ],
- "attributes": {
- }
- },
- "$abc$7863$n60": {
- "hide_name": 1,
- "bits": [ 58 ],
- "attributes": {
- }
- },
- "$abc$7863$n603": {
- "hide_name": 1,
- "bits": [ 271 ],
- "attributes": {
- }
- },
- "$abc$7863$n607": {
- "hide_name": 1,
- "bits": [ 272 ],
- "attributes": {
- }
- },
- "$abc$7863$n607_1": {
- "hide_name": 1,
- "bits": [ 234 ],
- "attributes": {
- }
- },
- "$abc$7863$n608_1": {
- "hide_name": 1,
- "bits": [ 236 ],
- "attributes": {
- }
- },
- "$abc$7863$n609_1": {
- "hide_name": 1,
- "bits": [ 237 ],
- "attributes": {
- }
- },
- "$abc$7863$n610": {
- "hide_name": 1,
- "bits": [ 238 ],
- "attributes": {
- }
- },
- "$abc$7863$n618": {
- "hide_name": 1,
- "bits": [ 247 ],
- "attributes": {
- }
- },
- "$abc$7863$n619_1": {
- "hide_name": 1,
- "bits": [ 249 ],
- "attributes": {
- }
- },
- "$abc$7863$n62": {
- "hide_name": 1,
- "bits": [ 59 ],
- "attributes": {
- }
- },
- "$abc$7863$n620_1": {
- "hide_name": 1,
- "bits": [ 250 ],
- "attributes": {
- }
- },
- "$abc$7863$n625_1": {
- "hide_name": 1,
- "bits": [ 263 ],
- "attributes": {
- }
- },
- "$abc$7863$n629_1": {
- "hide_name": 1,
- "bits": [ 266 ],
- "attributes": {
- }
- },
- "$abc$7863$n630": {
- "hide_name": 1,
- "bits": [ 268 ],
- "attributes": {
- }
- },
- "$abc$7863$n631_1": {
- "hide_name": 1,
- "bits": [ 267 ],
- "attributes": {
- }
- },
- "$abc$7863$n633": {
- "hide_name": 1,
- "bits": [ 270 ],
- "attributes": {
- }
- },
- "$abc$7863$n636": {
- "hide_name": 1,
- "bits": [ 273 ],
- "attributes": {
- }
- },
- "$abc$7863$n638": {
- "hide_name": 1,
- "bits": [ 277 ],
- "attributes": {
- }
- },
- "$abc$7863$n64": {
- "hide_name": 1,
- "bits": [ 60 ],
- "attributes": {
- }
- },
- "$abc$7863$n640": {
- "hide_name": 1,
- "bits": [ 281 ],
- "attributes": {
- }
- },
- "$abc$7863$n642": {
- "hide_name": 1,
- "bits": [ 285 ],
- "attributes": {
- }
- },
- "$abc$7863$n644_1": {
- "hide_name": 1,
- "bits": [ 289 ],
- "attributes": {
- }
- },
- "$abc$7863$n646": {
- "hide_name": 1,
- "bits": [ 293 ],
- "attributes": {
- }
- },
- "$abc$7863$n648_1": {
- "hide_name": 1,
- "bits": [ 297 ],
- "attributes": {
- }
- },
- "$abc$7863$n651_1": {
- "hide_name": 1,
- "bits": [ 304 ],
- "attributes": {
- }
- },
- "$abc$7863$n652": {
- "hide_name": 1,
- "bits": [ 307 ],
- "attributes": {
- }
- },
- "$abc$7863$n653_1": {
- "hide_name": 1,
- "bits": [ 308 ],
- "attributes": {
- }
- },
- "$abc$7863$n654_1": {
- "hide_name": 1,
- "bits": [ 309 ],
- "attributes": {
- }
- },
- "$abc$7863$n655": {
- "hide_name": 1,
- "bits": [ 310 ],
- "attributes": {
- }
- },
- "$abc$7863$n656": {
- "hide_name": 1,
- "bits": [ 311 ],
- "attributes": {
- }
- },
- "$abc$7863$n657": {
- "hide_name": 1,
- "bits": [ 305 ],
- "attributes": {
- }
- },
- "$abc$7863$n658": {
- "hide_name": 1,
- "bits": [ 328 ],
- "attributes": {
- }
- },
- "$abc$7863$n659": {
- "hide_name": 1,
- "bits": [ 329 ],
- "attributes": {
- }
- },
- "$abc$7863$n66": {
- "hide_name": 1,
- "bits": [ 54 ],
- "attributes": {
- }
- },
- "$abc$7863$n660_1": {
- "hide_name": 1,
- "bits": [ 303 ],
- "attributes": {
- }
- },
- "$abc$7863$n661_1": {
- "hide_name": 1,
- "bits": [ 330 ],
- "attributes": {
- }
- },
- "$abc$7863$n663_1": {
- "hide_name": 1,
- "bits": [ 332 ],
- "attributes": {
- }
- },
- "$abc$7863$n664_1": {
- "hide_name": 1,
- "bits": [ 334 ],
- "attributes": {
- }
- },
- "$abc$7863$n666_1": {
- "hide_name": 1,
- "bits": [ 335 ],
- "attributes": {
- }
- },
- "$abc$7863$n667_1": {
- "hide_name": 1,
- "bits": [ 336 ],
- "attributes": {
- }
- },
- "$abc$7863$n670_1": {
- "hide_name": 1,
- "bits": [ 339 ],
- "attributes": {
- }
- },
- "$abc$7863$n68": {
- "hide_name": 1,
- "bits": [ 55 ],
- "attributes": {
- }
- },
- "$abc$7863$n680_1": {
- "hide_name": 1,
- "bits": [ 358 ],
- "attributes": {
- }
- },
- "$abc$7863$n681": {
- "hide_name": 1,
- "bits": [ 360 ],
- "attributes": {
- }
- },
- "$abc$7863$n683_1": {
- "hide_name": 1,
- "bits": [ 361 ],
- "attributes": {
- }
- },
- "$abc$7863$n684": {
- "hide_name": 1,
- "bits": [ 363 ],
- "attributes": {
- }
- },
- "$abc$7863$n686_1": {
- "hide_name": 1,
- "bits": [ 365 ],
- "attributes": {
- }
- },
- "$abc$7863$n688": {
- "hide_name": 1,
- "bits": [ 368 ],
- "attributes": {
- }
- },
- "$abc$7863$n690": {
- "hide_name": 1,
- "bits": [ 371 ],
- "attributes": {
- }
- },
- "$abc$7863$n692_1": {
- "hide_name": 1,
- "bits": [ 374 ],
- "attributes": {
- }
- },
- "$abc$7863$n694": {
- "hide_name": 1,
- "bits": [ 377 ],
- "attributes": {
- }
- },
- "$abc$7863$n696": {
- "hide_name": 1,
- "bits": [ 380 ],
- "attributes": {
- }
- },
- "$abc$7863$n698_1": {
- "hide_name": 1,
- "bits": [ 382 ],
- "attributes": {
- }
- },
- "$abc$7863$n699": {
- "hide_name": 1,
- "bits": [ 385 ],
- "attributes": {
- }
- },
- "$abc$7863$n7": {
- "hide_name": 1,
- "bits": [ 96 ],
- "attributes": {
- }
- },
- "$abc$7863$n70": {
- "hide_name": 1,
- "bits": [ 56 ],
- "attributes": {
- }
- },
- "$abc$7863$n701": {
- "hide_name": 1,
- "bits": [ 387 ],
- "attributes": {
- }
- },
- "$abc$7863$n702": {
- "hide_name": 1,
- "bits": [ 274 ],
- "attributes": {
- }
- },
- "$abc$7863$n702_1": {
- "hide_name": 1,
- "bits": [ 386 ],
- "attributes": {
- }
- },
- "$abc$7863$n703": {
- "hide_name": 1,
- "bits": [ 278 ],
- "attributes": {
- }
- },
- "$abc$7863$n704": {
- "hide_name": 1,
- "bits": [ 282 ],
- "attributes": {
- }
- },
- "$abc$7863$n704_1": {
- "hide_name": 1,
- "bits": [ 391 ],
- "attributes": {
- }
- },
- "$abc$7863$n705": {
- "hide_name": 1,
- "bits": [ 286 ],
- "attributes": {
- }
- },
- "$abc$7863$n705_1": {
- "hide_name": 1,
- "bits": [ 390 ],
- "attributes": {
- }
- },
- "$abc$7863$n706": {
- "hide_name": 1,
- "bits": [ 290 ],
- "attributes": {
- }
- },
- "$abc$7863$n707": {
- "hide_name": 1,
- "bits": [ 294 ],
- "attributes": {
- }
- },
- "$abc$7863$n707_1": {
- "hide_name": 1,
- "bits": [ 395 ],
- "attributes": {
- }
- },
- "$abc$7863$n708": {
- "hide_name": 1,
- "bits": [ 298 ],
- "attributes": {
- }
- },
- "$abc$7863$n708_1": {
- "hide_name": 1,
- "bits": [ 397 ],
- "attributes": {
- }
- },
- "$abc$7863$n710": {
- "hide_name": 1,
- "bits": [ 302 ],
- "attributes": {
- }
- },
- "$abc$7863$n710_1": {
- "hide_name": 1,
- "bits": [ 399 ],
- "attributes": {
- }
- },
- "$abc$7863$n711": {
- "hide_name": 1,
- "bits": [ 398 ],
- "attributes": {
- }
- },
- "$abc$7863$n712": {
- "hide_name": 1,
- "bits": [ 306 ],
- "attributes": {
- }
- },
- "$abc$7863$n713": {
- "hide_name": 1,
- "bits": [ 403 ],
- "attributes": {
- }
- },
- "$abc$7863$n714": {
- "hide_name": 1,
- "bits": [ 333 ],
- "attributes": {
- }
- },
- "$abc$7863$n714_1": {
- "hide_name": 1,
- "bits": [ 402 ],
- "attributes": {
- }
- },
- "$abc$7863$n716": {
- "hide_name": 1,
- "bits": [ 337 ],
- "attributes": {
- }
- },
- "$abc$7863$n716_1": {
- "hide_name": 1,
- "bits": [ 407 ],
- "attributes": {
- }
- },
- "$abc$7863$n717_1": {
- "hide_name": 1,
- "bits": [ 409 ],
- "attributes": {
- }
- },
- "$abc$7863$n718": {
- "hide_name": 1,
- "bits": [ 338 ],
- "attributes": {
- }
- },
- "$abc$7863$n719": {
- "hide_name": 1,
- "bits": [ 411 ],
- "attributes": {
- }
- },
- "$abc$7863$n720": {
- "hide_name": 1,
- "bits": [ 410 ],
- "attributes": {
- }
- },
- "$abc$7863$n722": {
- "hide_name": 1,
- "bits": [ 415 ],
- "attributes": {
- }
- },
- "$abc$7863$n724": {
- "hide_name": 1,
- "bits": [ 340 ],
- "attributes": {
- }
- },
- "$abc$7863$n724_1": {
- "hide_name": 1,
- "bits": [ 417 ],
- "attributes": {
- }
- },
- "$abc$7863$n726": {
- "hide_name": 1,
- "bits": [ 342 ],
- "attributes": {
- }
- },
- "$abc$7863$n728": {
- "hide_name": 1,
- "bits": [ 344 ],
- "attributes": {
- }
- },
- "$abc$7863$n730": {
- "hide_name": 1,
- "bits": [ 346 ],
- "attributes": {
- }
- },
- "$abc$7863$n732": {
- "hide_name": 1,
- "bits": [ 348 ],
- "attributes": {
- }
- },
- "$abc$7863$n734": {
- "hide_name": 1,
- "bits": [ 350 ],
- "attributes": {
- }
- },
- "$abc$7863$n736": {
- "hide_name": 1,
- "bits": [ 352 ],
- "attributes": {
- }
- },
- "$abc$7863$n738": {
- "hide_name": 1,
- "bits": [ 354 ],
- "attributes": {
- }
- },
- "$abc$7863$n740": {
- "hide_name": 1,
- "bits": [ 356 ],
- "attributes": {
- }
- },
- "$abc$7863$n748": {
- "hide_name": 1,
- "bits": [ 359 ],
- "attributes": {
- }
- },
- "$abc$7863$n750": {
- "hide_name": 1,
- "bits": [ 362 ],
- "attributes": {
- }
- },
- "$abc$7863$n752": {
- "hide_name": 1,
- "bits": [ 366 ],
- "attributes": {
- }
- },
- "$abc$7863$n754": {
- "hide_name": 1,
- "bits": [ 369 ],
- "attributes": {
- }
- },
- "$abc$7863$n756": {
- "hide_name": 1,
- "bits": [ 372 ],
- "attributes": {
- }
- },
- "$abc$7863$n758": {
- "hide_name": 1,
- "bits": [ 375 ],
- "attributes": {
- }
- },
- "$abc$7863$n760": {
- "hide_name": 1,
- "bits": [ 378 ],
- "attributes": {
- }
- },
- "$abc$7863$n762": {
- "hide_name": 1,
- "bits": [ 381 ],
- "attributes": {
- }
- },
- "$abc$7863$n764": {
- "hide_name": 1,
- "bits": [ 383 ],
- "attributes": {
- }
- },
- "$abc$7863$n766": {
- "hide_name": 1,
- "bits": [ 388 ],
- "attributes": {
- }
- },
- "$abc$7863$n768": {
- "hide_name": 1,
- "bits": [ 392 ],
- "attributes": {
- }
- },
- "$abc$7863$n769": {
- "hide_name": 1,
- "bits": [ 514 ],
- "attributes": {
- }
- },
- "$abc$7863$n770": {
- "hide_name": 1,
- "bits": [ 396 ],
- "attributes": {
- }
- },
- "$abc$7863$n772": {
- "hide_name": 1,
- "bits": [ 400 ],
- "attributes": {
- }
- },
- "$abc$7863$n772_1": {
- "hide_name": 1,
- "bits": [ 521 ],
- "attributes": {
- }
- },
- "$abc$7863$n774": {
- "hide_name": 1,
- "bits": [ 404 ],
- "attributes": {
- }
- },
- "$abc$7863$n775": {
- "hide_name": 1,
- "bits": [ 527 ],
- "attributes": {
- }
- },
- "$abc$7863$n776": {
- "hide_name": 1,
- "bits": [ 408 ],
- "attributes": {
- }
- },
- "$abc$7863$n778": {
- "hide_name": 1,
- "bits": [ 412 ],
- "attributes": {
- }
- },
- "$abc$7863$n778_1": {
- "hide_name": 1,
- "bits": [ 533 ],
- "attributes": {
- }
- },
- "$abc$7863$n780": {
- "hide_name": 1,
- "bits": [ 416 ],
- "attributes": {
- }
- },
- "$abc$7863$n781": {
- "hide_name": 1,
- "bits": [ 539 ],
- "attributes": {
- }
- },
- "$abc$7863$n782": {
- "hide_name": 1,
- "bits": [ 418 ],
- "attributes": {
- }
- },
- "$abc$7863$n784": {
- "hide_name": 1,
- "bits": [ 420 ],
- "attributes": {
- }
- },
- "$abc$7863$n784_1": {
- "hide_name": 1,
- "bits": [ 545 ],
- "attributes": {
- }
- },
- "$abc$7863$n786": {
- "hide_name": 1,
- "bits": [ 422 ],
- "attributes": {
- }
- },
- "$abc$7863$n787": {
- "hide_name": 1,
- "bits": [ 551 ],
- "attributes": {
- }
- },
- "$abc$7863$n788": {
- "hide_name": 1,
- "bits": [ 424 ],
- "attributes": {
- }
- },
- "$abc$7863$n790": {
- "hide_name": 1,
- "bits": [ 426 ],
- "attributes": {
- }
- },
- "$abc$7863$n790_1": {
- "hide_name": 1,
- "bits": [ 557 ],
- "attributes": {
- }
- },
- "$abc$7863$n792": {
- "hide_name": 1,
- "bits": [ 428 ],
- "attributes": {
- }
- },
- "$abc$7863$n794": {
- "hide_name": 1,
- "bits": [ 430 ],
- "attributes": {
- }
- },
- "$abc$7863$n796": {
- "hide_name": 1,
- "bits": [ 431 ],
- "attributes": {
- }
- },
- "$abc$7863$n797": {
- "hide_name": 1,
- "bits": [ 432 ],
- "attributes": {
- }
- },
- "$abc$7863$n798": {
- "hide_name": 1,
- "bits": [ 433 ],
- "attributes": {
- }
- },
- "$abc$7863$n799": {
- "hide_name": 1,
- "bits": [ 434 ],
- "attributes": {
- }
- },
- "$abc$7863$n800": {
- "hide_name": 1,
- "bits": [ 435 ],
- "attributes": {
- }
- },
- "$abc$7863$n801": {
- "hide_name": 1,
- "bits": [ 436 ],
- "attributes": {
- }
- },
- "$abc$7863$n802": {
- "hide_name": 1,
- "bits": [ 437 ],
- "attributes": {
- }
- },
- "$abc$7863$n803": {
- "hide_name": 1,
- "bits": [ 438 ],
- "attributes": {
- }
- },
- "$abc$7863$n804": {
- "hide_name": 1,
- "bits": [ 439 ],
- "attributes": {
- }
- },
- "$abc$7863$n805": {
- "hide_name": 1,
- "bits": [ 440 ],
- "attributes": {
- }
- },
- "$abc$7863$n806": {
- "hide_name": 1,
- "bits": [ 441 ],
- "attributes": {
- }
- },
- "$abc$7863$n807": {
- "hide_name": 1,
- "bits": [ 442 ],
- "attributes": {
- }
- },
- "$abc$7863$n808": {
- "hide_name": 1,
- "bits": [ 443 ],
- "attributes": {
- }
- },
- "$abc$7863$n809": {
- "hide_name": 1,
- "bits": [ 444 ],
- "attributes": {
- }
- },
- "$abc$7863$n809_1": {
- "hide_name": 1,
- "bits": [ 578 ],
- "attributes": {
- }
- },
- "$abc$7863$n810": {
- "hide_name": 1,
- "bits": [ 445 ],
- "attributes": {
- }
- },
- "$abc$7863$n810_1": {
- "hide_name": 1,
- "bits": [ 579 ],
- "attributes": {
- }
- },
- "$abc$7863$n811": {
- "hide_name": 1,
- "bits": [ 446 ],
- "attributes": {
- }
- },
- "$abc$7863$n812": {
- "hide_name": 1,
- "bits": [ 447 ],
- "attributes": {
- }
- },
- "$abc$7863$n813": {
- "hide_name": 1,
- "bits": [ 448 ],
- "attributes": {
- }
- },
- "$abc$7863$n814": {
- "hide_name": 1,
- "bits": [ 449 ],
- "attributes": {
- }
- },
- "$abc$7863$n815": {
- "hide_name": 1,
- "bits": [ 450 ],
- "attributes": {
- }
- },
- "$abc$7863$n816": {
- "hide_name": 1,
- "bits": [ 451 ],
- "attributes": {
- }
- },
- "$abc$7863$n817": {
- "hide_name": 1,
- "bits": [ 452 ],
- "attributes": {
- }
- },
- "$abc$7863$n818": {
- "hide_name": 1,
- "bits": [ 453 ],
- "attributes": {
- }
- },
- "$abc$7863$n818_1": {
- "hide_name": 1,
- "bits": [ 584 ],
- "attributes": {
- }
- },
- "$abc$7863$n819": {
- "hide_name": 1,
- "bits": [ 454 ],
- "attributes": {
- }
- },
- "$abc$7863$n819_1": {
- "hide_name": 1,
- "bits": [ 583 ],
- "attributes": {
- }
- },
- "$abc$7863$n820": {
- "hide_name": 1,
- "bits": [ 455 ],
- "attributes": {
- }
- },
- "$abc$7863$n821": {
- "hide_name": 1,
- "bits": [ 456 ],
- "attributes": {
- }
- },
- "$abc$7863$n822": {
- "hide_name": 1,
- "bits": [ 457 ],
- "attributes": {
- }
- },
- "$abc$7863$n823": {
- "hide_name": 1,
- "bits": [ 458 ],
- "attributes": {
- }
- },
- "$abc$7863$n826": {
- "hide_name": 1,
- "bits": [ 459 ],
- "attributes": {
- }
- },
- "$abc$7863$n826_1": {
- "hide_name": 1,
- "bits": [ 585 ],
- "attributes": {
- }
- },
- "$abc$7863$n827": {
- "hide_name": 1,
- "bits": [ 460 ],
- "attributes": {
- }
- },
- "$abc$7863$n827_1": {
- "hide_name": 1,
- "bits": [ 588 ],
- "attributes": {
- }
- },
- "$abc$7863$n828": {
- "hide_name": 1,
- "bits": [ 461 ],
- "attributes": {
- }
- },
- "$abc$7863$n828_1": {
- "hide_name": 1,
- "bits": [ 586 ],
- "attributes": {
- }
- },
- "$abc$7863$n829": {
- "hide_name": 1,
- "bits": [ 462 ],
- "attributes": {
- }
- },
- "$abc$7863$n829_1": {
- "hide_name": 1,
- "bits": [ 589 ],
- "attributes": {
- }
- },
- "$abc$7863$n830": {
- "hide_name": 1,
- "bits": [ 463 ],
- "attributes": {
- }
- },
- "$abc$7863$n831": {
- "hide_name": 1,
- "bits": [ 464 ],
- "attributes": {
- }
- },
- "$abc$7863$n831_1": {
- "hide_name": 1,
- "bits": [ 590 ],
- "attributes": {
- }
- },
- "$abc$7863$n832": {
- "hide_name": 1,
- "bits": [ 465 ],
- "attributes": {
- }
- },
- "$abc$7863$n833": {
- "hide_name": 1,
- "bits": [ 466 ],
- "attributes": {
- }
- },
- "$abc$7863$n834": {
- "hide_name": 1,
- "bits": [ 467 ],
- "attributes": {
- }
- },
- "$abc$7863$n835": {
- "hide_name": 1,
- "bits": [ 468 ],
- "attributes": {
- }
- },
- "$abc$7863$n836": {
- "hide_name": 1,
- "bits": [ 469 ],
- "attributes": {
- }
- },
- "$abc$7863$n837": {
- "hide_name": 1,
- "bits": [ 470 ],
- "attributes": {
- }
- },
- "$abc$7863$n838": {
- "hide_name": 1,
- "bits": [ 471 ],
- "attributes": {
- }
- },
- "$abc$7863$n839": {
- "hide_name": 1,
- "bits": [ 472 ],
- "attributes": {
- }
- },
- "$abc$7863$n840": {
- "hide_name": 1,
- "bits": [ 473 ],
- "attributes": {
- }
- },
- "$abc$7863$n841": {
- "hide_name": 1,
- "bits": [ 474 ],
- "attributes": {
- }
- },
- "$abc$7863$n842": {
- "hide_name": 1,
- "bits": [ 475 ],
- "attributes": {
- }
- },
- "$abc$7863$n843": {
- "hide_name": 1,
- "bits": [ 476 ],
- "attributes": {
- }
- },
- "$abc$7863$n844": {
- "hide_name": 1,
- "bits": [ 477 ],
- "attributes": {
- }
- },
- "$abc$7863$n844_1": {
- "hide_name": 1,
- "bits": [ 613 ],
- "attributes": {
- }
- },
- "$abc$7863$n845": {
- "hide_name": 1,
- "bits": [ 478 ],
- "attributes": {
- }
- },
- "$abc$7863$n846": {
- "hide_name": 1,
- "bits": [ 479 ],
- "attributes": {
- }
- },
- "$abc$7863$n847": {
- "hide_name": 1,
- "bits": [ 480 ],
- "attributes": {
- }
- },
- "$abc$7863$n848": {
- "hide_name": 1,
- "bits": [ 481 ],
- "attributes": {
- }
- },
- "$abc$7863$n849": {
- "hide_name": 1,
- "bits": [ 482 ],
- "attributes": {
- }
- },
- "$abc$7863$n850": {
- "hide_name": 1,
- "bits": [ 483 ],
- "attributes": {
- }
- },
- "$abc$7863$n851": {
- "hide_name": 1,
- "bits": [ 484 ],
- "attributes": {
- }
- },
- "$abc$7863$n852": {
- "hide_name": 1,
- "bits": [ 485 ],
- "attributes": {
- }
- },
- "$abc$7863$n853": {
- "hide_name": 1,
- "bits": [ 486 ],
- "attributes": {
- }
- },
- "$abc$7863$n895": {
- "hide_name": 1,
- "bits": [ 681 ],
- "attributes": {
- }
- },
- "$abc$7863$n897": {
- "hide_name": 1,
- "bits": [ 683 ],
- "attributes": {
- }
- },
- "$abc$7863$n898": {
- "hide_name": 1,
- "bits": [ 684 ],
- "attributes": {
- }
- },
- "$abc$7863$n899": {
- "hide_name": 1,
- "bits": [ 97 ],
- "attributes": {
- }
- },
- "$abc$7863$n899_1": {
- "hide_name": 1,
- "bits": [ 685 ],
- "attributes": {
- }
- },
- "$abc$7863$n9": {
- "hide_name": 1,
- "bits": [ 101 ],
- "attributes": {
- }
- },
- "$abc$7863$n902": {
- "hide_name": 1,
- "bits": [ 120 ],
- "attributes": {
- }
- },
- "$abc$7863$n909": {
- "hide_name": 1,
- "bits": [ 414 ],
- "attributes": {
- }
- },
- "$abc$7863$n911": {
- "hide_name": 1,
- "bits": [ 419 ],
- "attributes": {
- }
- },
- "$abc$7863$n913": {
- "hide_name": 1,
- "bits": [ 423 ],
- "attributes": {
- }
- },
- "$abc$7863$n915": {
- "hide_name": 1,
- "bits": [ 429 ],
- "attributes": {
- }
- },
- "$abc$7863$n921": {
- "hide_name": 1,
- "bits": [ 421 ],
- "attributes": {
- }
- },
- "$abc$7863$n924": {
- "hide_name": 1,
- "bits": [ 425 ],
- "attributes": {
- }
- },
- "$abc$7863$n926": {
- "hide_name": 1,
- "bits": [ 427 ],
- "attributes": {
- }
- },
- "$abc$7863$n945": {
- "hide_name": 1,
- "bits": [ 357 ],
- "attributes": {
- }
- },
- "$abc$7863$n949": {
- "hide_name": 1,
- "bits": [ 364 ],
- "attributes": {
- }
- },
- "$abc$7863$n951": {
- "hide_name": 1,
- "bits": [ 367 ],
- "attributes": {
- }
- },
- "$abc$7863$n953": {
- "hide_name": 1,
- "bits": [ 370 ],
- "attributes": {
- }
- },
- "$abc$7863$n955": {
- "hide_name": 1,
- "bits": [ 373 ],
- "attributes": {
- }
- },
- "$abc$7863$n957": {
- "hide_name": 1,
- "bits": [ 376 ],
- "attributes": {
- }
- },
- "$abc$7863$n959": {
- "hide_name": 1,
- "bits": [ 379 ],
- "attributes": {
- }
- },
- "$abc$7863$n961": {
- "hide_name": 1,
- "bits": [ 384 ],
- "attributes": {
- }
- },
- "$abc$7863$n963": {
- "hide_name": 1,
- "bits": [ 389 ],
- "attributes": {
- }
- },
- "$abc$7863$n965": {
- "hide_name": 1,
- "bits": [ 393 ],
- "attributes": {
- }
- },
- "$abc$7863$n967": {
- "hide_name": 1,
- "bits": [ 394 ],
- "attributes": {
- }
- },
- "$abc$7863$n969": {
- "hide_name": 1,
- "bits": [ 401 ],
- "attributes": {
- }
- },
- "$abc$7863$n971": {
- "hide_name": 1,
- "bits": [ 405 ],
- "attributes": {
- }
- },
- "$abc$7863$n973": {
- "hide_name": 1,
- "bits": [ 406 ],
- "attributes": {
- }
- },
- "$abc$7863$n975": {
- "hide_name": 1,
- "bits": [ 413 ],
- "attributes": {
- }
- },
- "$add$top.v:1187$144_Y": {
- "hide_name": 1,
- "bits": [ 746, 878, 749, 752, 755, 758, 761, 764, 767 ],
- "attributes": {
- "src": "top.v:1187"
- }
- },
- "$add$top.v:1190$145_Y": {
- "hide_name": 1,
- "bits": [ 768, 879, 771, 774, 777, 780, 783, 786, 789 ],
- "attributes": {
- "src": "top.v:1190"
- }
- },
- "$add$top.v:1224$166_Y": {
- "hide_name": 1,
- "bits": [ 724, 880, 727, 730, 733, 736, 739, 742, 745 ],
- "attributes": {
- "src": "top.v:1224"
- }
- },
- "$add$top.v:1227$167_Y": {
- "hide_name": 1,
- "bits": [ 693, 881, 696, 699, 702, 705, 708, 711, 714 ],
- "attributes": {
- "src": "top.v:1227"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1526.C": {
- "hide_name": 1,
- "bits": [ 882, 883, 687, 688, 689, 690, 691, 692 ],
- "attributes": {
- "src": "top.v:1163|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1537.C": {
- "hide_name": 1,
- "bits": [ 884, 885, 694, 697, 700, 703, 706, 709, 712 ],
- "attributes": {
- "src": "top.v:1227|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1540.C": {
- "hide_name": 1,
- "bits": [ 886, 887, 715, 716, 717, 718, 719, 720, 721, 722 ],
- "attributes": {
- "src": "top.v:1231|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1543.C": {
- "hide_name": 1,
- "bits": [ 888, 889, 723 ],
- "attributes": {
- "src": "top.v:301|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1546.C": {
- "hide_name": 1,
- "bits": [ 890, 891, 725, 728, 731, 734, 737, 740, 743 ],
- "attributes": {
- "src": "top.v:1224|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1549.C": {
- "hide_name": 1,
- "bits": [ 892, 893, 747, 750, 753, 756, 759, 762, 765 ],
- "attributes": {
- "src": "top.v:1187|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1552.C": {
- "hide_name": 1,
- "bits": [ 894, 895, 769, 772, 775, 778, 781, 784, 787 ],
- "attributes": {
- "src": "top.v:1190|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1555.C": {
- "hide_name": 1,
- "bits": [ 896, 897, 790, 791, 792, 793, 794, 795, 796, 797 ],
- "attributes": {
- "src": "top.v:1194|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1567.C": {
- "hide_name": 1,
- "bits": [ 898, 899, 798, 799, 800, 801, 802, 803 ],
- "attributes": {
- "src": "top.v:1002|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1570.C": {
- "hide_name": 1,
- "bits": [ 900, 901, 805, 806, 807, 808, 809, 810, 811, 812, 804 ],
- "attributes": {
- "src": "top.v:1133|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1573.C": {
- "hide_name": 1,
- "bits": [ 902, 903, 813, 814, 815, 816, 817, 818, 819, 820 ],
- "attributes": {
- "src": "top.v:1198|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1579.C": {
- "hide_name": 1,
- "bits": [ 904, 905, 821, 822, 823, 824, 825, 826, 827, 828 ],
- "attributes": {
- "src": "top.v:1235|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1582.C": {
- "hide_name": 1,
- "bits": [ 906, 907, 835, 836, 837, 838, 839, 840, 841, 842, 829, 830, 831, 832, 833, 834 ],
- "attributes": {
- "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$alumacc.cc:474:replace_alu$1585.C": {
- "hide_name": 1,
- "bits": [ 908, 909, 843 ],
- "attributes": {
- "src": "top.v:974|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$7808": {
- "hide_name": 1,
- "bits": [ 848 ],
- "attributes": {
- }
- },
- "$auto$ice40_ffinit.cc:140:execute$7816": {
- "hide_name": 1,
- "bits": [ 849 ],
- "attributes": {
- }
- },
- "$techmap1599\\storage.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 910, 862, 911, 863, 912, 864, 913, 865, 914, 866, 915, 867, 916, 868, 917, 869 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "$techmap1600\\storage_2.0.0.0.A1DATA_16": {
- "hide_name": 1,
- "bits": [ 918, 870, 919, 871, 920, 872, 921, 873, 922, 874, 923, 875, 924, 876, 925, 877 ],
- "attributes": {
- "src": "/usr/local/bin/../share/yosys/ice40/brams_map.v:255",
- "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
- }
- },
- "applet_clk_buf": {
- "hide_name": 0,
- "bits": [ 852 ],
- "attributes": {
- "src": "top.v:20"
- }
- },
- "applet_fd_t_i": {
- "hide_name": 0,
- "bits": [ 512, 519, 525, 531, 537, 543, 549, 555 ],
- "attributes": {
- "src": "top.v:63"
- }
- },
- "applet_fd_t_o": {
- "hide_name": 0,
- "bits": [ 488, 490, 492, 494, 496, 498, 500, 502 ],
- "attributes": {
- "src": "top.v:62"
- }
- },
- "applet_fd_t_oe": {
- "hide_name": 0,
- "bits": [ 847 ],
- "attributes": {
- "src": "top.v:61"
- }
- },
- "applet_fifoadr_t_i": {
- "hide_name": 0,
- "bits": [ 853, 859 ],
- "attributes": {
- "src": "top.v:57",
- "unused_bits": "0 1"
- }
- },
- "applet_fifoadr_t_o": {
- "hide_name": 0,
- "bits": [ 180, 181 ],
- "attributes": {
- "src": "top.v:56"
- }
- },
- "applet_flag_t_i": {
- "hide_name": 0,
- "bits": [ 182, 184, 183, 185 ],
- "attributes": {
- "src": "top.v:60"
- }
- },
- "applet_i2c_slave_bitno": {
- "hide_name": 0,
- "bits": [ 93, 94, 91 ],
- "attributes": {
- "src": "top.v:46"
- }
- },
- "applet_i2c_slave_bitno_i2cslave_next_value": {
- "hide_name": 0,
- "bits": [ 609, 610, 612 ],
- "attributes": {
- "src": "top.v:174"
- }
- },
- "applet_i2c_slave_data_i": {
- "hide_name": 0,
- "bits": [ 79, 82, 80, 81, 75, 76, 77, 78 ],
- "attributes": {
- "src": "top.v:32"
- }
- },
- "applet_i2c_slave_data_i_i2cslave_f_next_value2": {
- "hide_name": 0,
- "bits": [ 561, 562, 563, 564, 565, 566, 567, 568 ],
- "attributes": {
- "src": "top.v:182"
- }
- },
- "applet_i2c_slave_fsm_is_el1": {
- "hide_name": 0,
- "bits": [ 122 ],
- "attributes": {
- "src": "top.v:52"
- }
- },
- "applet_i2c_slave_shreg_i": {
- "hide_name": 0,
- "bits": [ 48, 137, 138, 139, 136, 140, 133, 134 ],
- "attributes": {
- "src": "top.v:47"
- }
- },
- "applet_i2c_slave_shreg_i_i2cslave_t_next_value": {
- "hide_name": 0,
- "bits": [ 569, 570, 571, 572, 573, 574, 575, 576 ],
- "attributes": {
- "src": "top.v:176"
- }
- },
- "applet_i2c_slave_shreg_o": {
- "hide_name": 0,
- "bits": [ 594, 596, 598, 600, 602, 604, 606, 39 ],
- "attributes": {
- "src": "top.v:48"
- }
- },
- "applet_i2c_slave_shreg_o_i2cslave_f_next_value1": {
- "hide_name": 0,
- "bits": [ 593, 595, 597, 599, 601, 603, 605, 607 ],
- "attributes": {
- "src": "top.v:180"
- }
- },
- "applet_i2c_slave_signal_is_el1": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "top.v:51"
- }
- },
- "applet_i2c_slave_write": {
- "hide_name": 0,
- "bits": [ 69 ],
- "attributes": {
- "src": "top.v:31"
- }
- },
- "applet_pktend_t_i": {
- "hide_name": 0,
- "bits": [ 857 ],
- "attributes": {
- "src": "top.v:75",
- "unused_bits": "0"
- }
- },
- "applet_pktend_t_o": {
- "hide_name": 0,
- "bits": [ 615 ],
- "attributes": {
- "src": "top.v:74"
- }
- },
- "applet_registers_address": {
- "hide_name": 0,
- "bits": [ 255, 256, 257, 258, 251, 252, 253, 254 ],
- "attributes": {
- "src": "top.v:54"
- }
- },
- "applet_reset_delay": {
- "hide_name": 0,
- "bits": [ 647, 648, 649, 650, 651, 652, 653, 654, 655, 656, 643 ],
- "attributes": {
- "src": "top.v:21"
- }
- },
- "applet_sloe_t_i": {
- "hide_name": 0,
- "bits": [ 854 ],
- "attributes": {
- "src": "top.v:66",
- "unused_bits": "0"
- }
- },
- "applet_sloe_t_o": {
- "hide_name": 0,
- "bits": [ 676 ],
- "attributes": {
- "src": "top.v:65"
- }
- },
- "applet_slrd_t_i": {
- "hide_name": 0,
- "bits": [ 855 ],
- "attributes": {
- "src": "top.v:69",
- "unused_bits": "0"
- }
- },
- "applet_slrd_t_o": {
- "hide_name": 0,
- "bits": [ 614 ],
- "attributes": {
- "src": "top.v:68"
- }
- },
- "applet_slwr_t_i": {
- "hide_name": 0,
- "bits": [ 856 ],
- "attributes": {
- "src": "top.v:72",
- "unused_bits": "0"
- }
- },
- "applet_slwr_t_o": {
- "hide_name": 0,
- "bits": [ 205 ],
- "attributes": {
- "src": "top.v:71"
- }
- },
- "applet_tstriple0_i": {
- "hide_name": 0,
- "bits": [ 621 ],
- "attributes": {
- "src": "top.v:24"
- }
- },
- "applet_tstriple1_i": {
- "hide_name": 0,
- "bits": [ 623 ],
- "attributes": {
- "src": "top.v:27"
- }
- },
- "applet_tstriple1_oe": {
- "hide_name": 0,
- "bits": [ 845 ],
- "attributes": {
- "src": "top.v:26"
- }
- },
- "clk_if": {
- "hide_name": 0,
- "bits": [ 2 ],
- "attributes": {
- "src": "top.v:3"
- }
- },
- "fx2_fd": {
- "hide_name": 0,
- "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ],
- "attributes": {
- "src": "top.v:12"
- }
- },
- "fx2_fifoadr": {
- "hide_name": 0,
- "bits": [ 9, 10 ],
- "attributes": {
- "src": "top.v:10"
- }
- },
- "fx2_flag": {
- "hide_name": 0,
- "bits": [ 11, 12, 13, 14 ],
- "attributes": {
- "src": "top.v:11"
- }
- },
- "fx2_pktend": {
- "hide_name": 0,
- "bits": [ 8 ],
- "attributes": {
- "src": "top.v:9"
- }
- },
- "fx2_sloe": {
- "hide_name": 0,
- "bits": [ 5 ],
- "attributes": {
- "src": "top.v:6"
- }
- },
- "fx2_slrd": {
- "hide_name": 0,
- "bits": [ 6 ],
- "attributes": {
- "src": "top.v:7"
- }
- },
- "fx2_slwr": {
- "hide_name": 0,
- "bits": [ 7 ],
- "attributes": {
- "src": "top.v:8"
- }
- },
- "fx2arbiter_addr": {
- "hide_name": 0,
- "bits": [ 180, 181 ],
- "attributes": {
- "src": "top.v:186"
- }
- },
- "fx2arbiter_addr_fx2arbiter_next_value2": {
- "hide_name": 0,
- "bits": [ 581, 582 ],
- "attributes": {
- "src": "top.v:200"
- }
- },
- "fx2arbiter_fdoe": {
- "hide_name": 0,
- "bits": [ 847 ],
- "attributes": {
- "src": "top.v:187"
- }
- },
- "fx2arbiter_fdoe_fx2arbiter_next_value1": {
- "hide_name": 0,
- "bits": [ 261 ],
- "attributes": {
- "src": "top.v:198"
- }
- },
- "fx2arbiter_flag": {
- "hide_name": 0,
- "bits": [ 182, 184, 183, 185 ],
- "attributes": {
- "src": "top.v:185"
- }
- },
- "fx2arbiter_naddr": {
- "hide_name": 0,
- "bits": [ 581, 582 ],
- "attributes": {
- "src": "top.v:193"
- }
- },
- "fx2arbiter_next_state": {
- "hide_name": 0,
- "bits": [ 577, 580, 682 ],
- "attributes": {
- "src": "top.v:195"
- }
- },
- "fx2arbiter_sloe": {
- "hide_name": 0,
- "bits": [ 675 ],
- "attributes": {
- "src": "top.v:188"
- }
- },
- "fx2arbiter_sloe_fx2arbiter_next_value0": {
- "hide_name": 0,
- "bits": [ 264 ],
- "attributes": {
- "src": "top.v:196"
- }
- },
- "fx2arbiter_state": {
- "hide_name": 0,
- "bits": [ 186, 187, 188 ],
- "attributes": {
- "src": "top.v:194"
- }
- },
- "i2c_scl": {
- "hide_name": 0,
- "bits": [ 3 ],
- "attributes": {
- "src": "top.v:4"
- }
- },
- "i2c_sda": {
- "hide_name": 0,
- "bits": [ 4 ],
- "attributes": {
- "src": "top.v:5"
- }
- },
- "i2cslave_next_state": {
- "hide_name": 0,
- "bits": [ 686, 587, 591 ],
- "attributes": {
- "src": "top.v:173"
- }
- },
- "i2cslave_state": {
- "hide_name": 0,
- "bits": [ 68, 67, 66 ],
- "attributes": {
- "src": "top.v:172"
- }
- },
- "io": {
- "hide_name": 0,
- "bits": [ 23, 24, 25, 26, 27, 28, 29, 30 ],
- "attributes": {
- "src": "top.v:13"
- }
- },
- "io_1": {
- "hide_name": 0,
- "bits": [ 31, 32, 33, 34, 35, 36, 37, 38 ],
- "attributes": {
- "src": "top.v:14"
- }
- },
- "latch_addr": {
- "hide_name": 0,
- "bits": [ 70 ],
- "attributes": {
- "src": "top.v:184"
- }
- },
- "lhs_array_muxed": {
- "hide_name": 0,
- "bits": [ 512, 519, 525, 531, 537, 543, 549, 555 ],
- "attributes": {
- "src": "top.v:217"
- }
- },
- "memdat_1": {
- "hide_name": 0,
- "bits": [ 301, 275, 279, 283, 287, 291, 295, 299 ],
- "attributes": {
- "src": "top.v:1582"
- }
- },
- "memdat_4": {
- "hide_name": 0,
- "bits": [ 487, 489, 491, 493, 495, 497, 499, 501 ],
- "attributes": {
- "src": "top.v:1613"
- }
- },
- "multiregimpl2_regs0": {
- "hide_name": 0,
- "bits": [ 851 ],
- "attributes": {
- "src": "top.v:230"
- }
- },
- "multiregimpl2_regs1": {
- "hide_name": 0,
- "bits": [ 341 ],
- "attributes": {
- "src": "top.v:231"
- }
- },
- "por_clk": {
- "hide_name": 0,
- "bits": [ 844 ],
- "attributes": {
- "src": "top.v:17"
- }
- },
- "resetinserter_state": {
- "hide_name": 0,
- "bits": [ 115, 116, 117 ],
- "attributes": {
- "src": "top.v:202"
- }
- },
- "rhs_array_muxed1": {
- "hide_name": 0,
- "bits": [ 488, 490, 492, 494, 496, 498, 500, 502 ],
- "attributes": {
- "src": "top.v:218"
- }
- },
- "spiflashavrapplet_bitno": {
- "hide_name": 0,
- "bits": [ 644, 645, 646 ],
- "attributes": {
- "src": "top.v:166"
- }
- },
- "spiflashavrapplet_count": {
- "hide_name": 0,
- "bits": [ 316, 317, 318, 319, 312, 313, 314, 315, 324, 325, 326, 327, 320, 321, 322, 323 ],
- "attributes": {
- "src": "top.v:165"
- }
- },
- "spiflashavrapplet_fifowithoverflow_consume": {
- "hide_name": 0,
- "bits": [ 518 ],
- "attributes": {
- "src": "top.v:127"
- }
- },
- "spiflashavrapplet_fifowithoverflow_din": {
- "hide_name": 0,
- "bits": [ 513, 520, 526, 532, 538, 544, 550, 556 ],
- "attributes": {
- "src": "top.v:117"
- }
- },
- "spiflashavrapplet_fifowithoverflow_level": {
- "hide_name": 0,
- "bits": [ 189, 190 ],
- "attributes": {
- "src": "top.v:124"
- }
- },
- "spiflashavrapplet_fifowithoverflow_produce": {
- "hide_name": 0,
- "bits": [ 616 ],
- "attributes": {
- "src": "top.v:126"
- }
- },
- "spiflashavrapplet_fifowithoverflow_rdport_adr": {
- "hide_name": 0,
- "bits": [ 518 ],
- "attributes": {
- "src": "top.v:133"
- }
- },
- "spiflashavrapplet_fifowithoverflow_wrport_adr": {
- "hide_name": 0,
- "bits": [ 616 ],
- "attributes": {
- "src": "top.v:128"
- }
- },
- "spiflashavrapplet_ireg": {
- "hide_name": 0,
- "bits": [ 343, 345, 347, 349, 351, 353, 355, 510 ],
- "attributes": {
- "src": "top.v:168"
- }
- },
- "spiflashavrapplet_miso": {
- "hide_name": 0,
- "bits": [ 341 ],
- "attributes": {
- "src": "top.v:160"
- }
- },
- "spiflashavrapplet_mosi": {
- "hide_name": 0,
- "bits": [ 846 ],
- "attributes": {
- "src": "top.v:159"
- }
- },
- "spiflashavrapplet_oe": {
- "hide_name": 0,
- "bits": [ 592 ],
- "attributes": {
- "src": "top.v:156"
- }
- },
- "spiflashavrapplet_oreg": {
- "hide_name": 0,
- "bits": [ 276, 280, 284, 288, 292, 296, 300, 846 ],
- "attributes": {
- "src": "top.v:167"
- }
- },
- "spiflashavrapplet_reg0": {
- "hide_name": 0,
- "bits": [ 102 ],
- "attributes": {
- "src": "top.v:170"
- }
- },
- "spiflashavrapplet_reg1": {
- "hide_name": 0,
- "bits": [ 592 ],
- "attributes": {
- "src": "top.v:171"
- }
- },
- "spiflashavrapplet_reset": {
- "hide_name": 0,
- "bits": [ 102 ],
- "attributes": {
- "src": "top.v:169"
- }
- },
- "spiflashavrapplet_sck": {
- "hide_name": 0,
- "bits": [ 99 ],
- "attributes": {
- "src": "top.v:157"
- }
- },
- "spiflashavrapplet_sck_r": {
- "hide_name": 0,
- "bits": [ 244 ],
- "attributes": {
- "src": "top.v:161"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_consume": {
- "hide_name": 0,
- "bits": [ 196, 670, 770, 773, 776, 779, 782, 785, 788 ],
- "attributes": {
- "src": "top.v:105"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_do_read": {
- "hide_name": 0,
- "bits": [ 156 ],
- "attributes": {
- "src": "top.v:110"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_level0": {
- "hide_name": 0,
- "bits": [ 162, 163, 164, 165, 158, 159, 160, 161, 166, 167 ],
- "attributes": {
- "src": "top.v:102"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_produce": {
- "hide_name": 0,
- "bits": [ 194, 668, 748, 751, 754, 757, 760, 763, 766 ],
- "attributes": {
- "src": "top.v:104"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_rdport_adr": {
- "hide_name": 0,
- "bits": [ 196, 670, 770, 773, 776, 779, 782, 785, 788 ],
- "attributes": {
- "src": "top.v:111"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_rdport_dat_r": {
- "hide_name": 0,
- "bits": [ 301, 275, 279, 283, 287, 291, 295, 299 ],
- "attributes": {
- "src": "top.v:112"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_rdport_re": {
- "hide_name": 0,
- "bits": [ 156 ],
- "attributes": {
- "src": "top.v:113"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_readable": {
- "hide_name": 0,
- "bits": [ 157 ],
- "attributes": {
- "src": "top.v:95"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_syncfifo0_din": {
- "hide_name": 0,
- "bits": [ 515, 522, 528, 534, 540, 546, 552, 558 ],
- "attributes": {
- "src": "top.v:100"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_syncfifo0_dout": {
- "hide_name": 0,
- "bits": [ 301, 275, 279, 283, 287, 291, 295, 299 ],
- "attributes": {
- "src": "top.v:101"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_syncfifo0_re": {
- "hide_name": 0,
- "bits": [ 156 ],
- "attributes": {
- "src": "top.v:98"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_wrport_adr": {
- "hide_name": 0,
- "bits": [ 194, 668, 748, 751, 754, 757, 760, 763, 766 ],
- "attributes": {
- "src": "top.v:106"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_wrport_dat_w": {
- "hide_name": 0,
- "bits": [ 515, 522, 528, 534, 540, 546, 552, 558 ],
- "attributes": {
- "src": "top.v:109"
- }
- },
- "spiflashavrapplet_syncfifobuffered0_wrport_we": {
- "hide_name": 0,
- "bits": [ 174 ],
- "attributes": {
- "src": "top.v:108"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_consume": {
- "hide_name": 0,
- "bits": [ 231, 664, 695, 698, 701, 704, 707, 710, 713 ],
- "attributes": {
- "src": "top.v:146"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_do_read": {
- "hide_name": 0,
- "bits": [ 207 ],
- "attributes": {
- "src": "top.v:151"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_level0": {
- "hide_name": 0,
- "bits": [ 211, 217, 218, 219, 220, 214, 215, 216, 210, 203 ],
- "attributes": {
- "src": "top.v:143"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_produce": {
- "hide_name": 0,
- "bits": [ 228, 666, 726, 729, 732, 735, 738, 741, 744 ],
- "attributes": {
- "src": "top.v:145"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_rdport_adr": {
- "hide_name": 0,
- "bits": [ 231, 664, 695, 698, 701, 704, 707, 710, 713 ],
- "attributes": {
- "src": "top.v:152"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_rdport_dat_r": {
- "hide_name": 0,
- "bits": [ 487, 489, 491, 493, 495, 497, 499, 501 ],
- "attributes": {
- "src": "top.v:153"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_rdport_re": {
- "hide_name": 0,
- "bits": [ 207 ],
- "attributes": {
- "src": "top.v:154"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_readable": {
- "hide_name": 0,
- "bits": [ 206 ],
- "attributes": {
- "src": "top.v:136"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_syncfifo1_din": {
- "hide_name": 0,
- "bits": [ 503, 504, 505, 506, 507, 508, 509, 511 ],
- "attributes": {
- "src": "top.v:141"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_syncfifo1_dout": {
- "hide_name": 0,
- "bits": [ 487, 489, 491, 493, 495, 497, 499, 501 ],
- "attributes": {
- "src": "top.v:142"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_syncfifo1_re": {
- "hide_name": 0,
- "bits": [ 207 ],
- "attributes": {
- "src": "top.v:139"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_wrport_adr": {
- "hide_name": 0,
- "bits": [ 228, 666, 726, 729, 732, 735, 738, 741, 744 ],
- "attributes": {
- "src": "top.v:147"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_wrport_dat_w": {
- "hide_name": 0,
- "bits": [ 503, 504, 505, 506, 507, 508, 509, 511 ],
- "attributes": {
- "src": "top.v:150"
- }
- },
- "spiflashavrapplet_syncfifobuffered1_wrport_we": {
- "hide_name": 0,
- "bits": [ 224 ],
- "attributes": {
- "src": "top.v:149"
- }
- },
- "spiflashavrapplet_timer": {
- "hide_name": 0,
- "bits": [ 111, 112, 113, 114, 107, 108, 109, 110 ],
- "attributes": {
- "src": "top.v:164"
- }
- },
- "spiflashavrapplet_tstriple0_i": {
- "hide_name": 0,
- "bits": [ 858 ],
- "attributes": {
- "src": "top.v:84",
- "unused_bits": "0"
- }
- },
- "spiflashavrapplet_tstriple0_o": {
- "hide_name": 0,
- "bits": [ 674 ],
- "attributes": {
- "src": "top.v:82"
- }
- },
- "spiflashavrapplet_tstriple1_i": {
- "hide_name": 0,
- "bits": [ 860 ],
- "attributes": {
- "src": "top.v:87",
- "unused_bits": "0"
- }
- },
- "spiflashavrapplet_tstriple1_o": {
- "hide_name": 0,
- "bits": [ 99 ],
- "attributes": {
- "src": "top.v:85"
- }
- },
- "spiflashavrapplet_tstriple1_oe": {
- "hide_name": 0,
- "bits": [ 592 ],
- "attributes": {
- "src": "top.v:86"
- }
- },
- "spiflashavrapplet_tstriple2_i": {
- "hide_name": 0,
- "bits": [ 850 ],
- "attributes": {
- "src": "top.v:90"
- }
- },
- "spiflashavrapplet_tstriple3_i": {
- "hide_name": 0,
- "bits": [ 861 ],
- "attributes": {
- "src": "top.v:93",
- "unused_bits": "0"
- }
- },
- "spiflashavrapplet_tstriple3_o": {
- "hide_name": 0,
- "bits": [ 846 ],
- "attributes": {
- "src": "top.v:91"
- }
- },
- "spiflashavrapplet_tstriple3_oe": {
- "hide_name": 0,
- "bits": [ 592 ],
- "attributes": {
- "src": "top.v:92"
- }
- },
- "storage_1[0]": {
- "hide_name": 0,
- "bits": [ 517, 523, 529, 535, 541, 547, 553, 559 ],
- "attributes": {
- }
- },
- "storage_1[1]": {
- "hide_name": 0,
- "bits": [ 516, 524, 530, 536, 542, 548, 554, 560 ],
- "attributes": {
- }
- },
- "sys_clk": {
- "hide_name": 0,
- "bits": [ 844 ],
- "attributes": {
- "src": "top.v:18"
- }
- },
- "sys_rst": {
- "hide_name": 0,
- "bits": [ 50 ],
- "attributes": {
- "src": "top.v:19"
- }
- }
- }
- }
- }
-}