aboutsummaryrefslogtreecommitdiffstats
path: root/examples/icestick
Commit message (Expand)AuthorAgeFilesLines
* Replaced instances of `arachne-pnr` with the `nextpnr-ice40` equivalentAki Van Ness2022-09-152-29/+17
* Improve icestick rs232demo exampleClifford Wolf2019-02-143-8/+125
* Use better error pattern in icestick checker exampleClifford Wolf2017-07-211-1/+1
* Add icestick "checker" exampleClifford Wolf2017-07-214-3/+108
* Improve rs232demo test benchClifford Wolf2017-07-191-8/+7
* Add pre- and post-synthesis testbench examplesClifford Wolf2017-07-184-0/+103
* Some cleanups in verilog examplesClifford Wolf2017-01-091-1/+1
* Improvements in icestick rs232 demoClifford Wolf2017-01-032-25/+25
* Fixed files with CRLF line endingsClifford Wolf2017-01-011-11/+11
* Added link to icestick pinoutClifford Wolf2016-12-301-0/+3
* Added icestick rs232 demoClifford Wolf2016-12-303-0/+65
* Timing models for LP and HX devicesClifford Wolf2016-02-011-3/+3
* added icetime -o/-r optionsClifford Wolf2016-01-181-1/+1
* Added icetime to examplesClifford Wolf2016-01-172-4/+9
* Renamed IceBox .txt files to .asc filesClifford Wolf2016-01-011-3/+3
* Merge pull request #18 from esden/flex_example_makeClifford Wolf2015-12-301-10/+18
|\
| * [examples] Added sudo prog target to prevent compiling the code as root. And ...Piotr Esden-Tempski2015-12-301-1/+5
| * [examples] Added the project bin file to prog dependencies.Piotr Esden-Tempski2015-12-281-2/+2
| * [examples] Made the example Makefiles easier to reuse.Piotr Esden-Tempski2015-12-281-9/+13
* | remove trailing tab in icestick example verilogMax Bruckner2015-12-291-1/+1
|/
* Added icestick and hx8kboard examplesClifford Wolf2015-12-084-0/+49