aboutsummaryrefslogtreecommitdiffstats
path: root/examples/up5k_rgb/Makefile.uwg30
diff options
context:
space:
mode:
Diffstat (limited to 'examples/up5k_rgb/Makefile.uwg30')
-rw-r--r--examples/up5k_rgb/Makefile.uwg3012
1 files changed, 6 insertions, 6 deletions
diff --git a/examples/up5k_rgb/Makefile.uwg30 b/examples/up5k_rgb/Makefile.uwg30
index b3755ff..41e776c 100644
--- a/examples/up5k_rgb/Makefile.uwg30
+++ b/examples/up5k_rgb/Makefile.uwg30
@@ -1,9 +1,9 @@
PROJ = rgb
PIN_DEF = rgb_uwg30.pcf
DEVICE = up5k
+PACKAGE = uwg30
+
-ARACHNE = arachne-pnr
-ARACHNE_ARGS =
ICEPACK = icepack
ICETIME = icetime
ICEPROG = iceprog
@@ -11,10 +11,10 @@ ICEPROG = iceprog
all: $(PROJ).bin
%.blif: %.v
- yosys -p 'synth_ice40 -top top -blif $@' $<
+ yosys -p 'synth_ice40 -top top -json $@' $<
-%.asc: $(PIN_DEF) %.blif
- $(ARACHNE) $(ARACHNE_ARGS) -d $(subst up,,$(subst hx,,$(subst lp,,$(DEVICE)))) -o $@ -p $^ -P uwg30
+%.asc: $(PIN_DEF) %.json
+ nextpnr-ice40 --$(DEVICE) --package $(PACKAGE) --asc $@ --pcf $< --json $*.json
%.bin: %.asc
$(ICEPACK) $< $@
@@ -30,7 +30,7 @@ sudo-prog: $(PROJ).bin
sudo $(ICEPROG) -S $<
clean:
- rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin
+ rm -f $(PROJ).json $(PROJ).asc $(PROJ).rpt $(PROJ).bin
.SECONDARY:
.PHONY: all prog clean