aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--docs/index.html16
-rw-r--r--examples/hx8kboard/Makefile6
-rw-r--r--examples/icestick/Makefile6
-rwxr-xr-xicebox/icebox_chipdb.py2
-rwxr-xr-xicebox/icebox_colbuf.py2
-rwxr-xr-xicebox/icebox_diff.py2
-rwxr-xr-xicebox/icebox_explain.py2
-rwxr-xr-xicebox/icebox_vlog.py2
-rw-r--r--icefuzz/check.sh4
-rw-r--r--icefuzz/icecube.sh2
-rw-r--r--icefuzz/pinloc/pinloc-1k-cb132.sh2
-rw-r--r--icefuzz/pinloc/pinloc-1k-qn84.sh2
-rw-r--r--icefuzz/pinloc/pinloc-1k-tq144.sh2
-rw-r--r--icefuzz/pinloc/pinloc-1k-vq100.sh2
-rw-r--r--icefuzz/pinloc/pinloc-8k-ct256.sh2
-rw-r--r--icefuzz/tests/colbuf_io.sh2
-rw-r--r--icefuzz/tests/colbuf_io_8k.sh2
-rw-r--r--icefuzz/tests/colbuf_logic.sh2
-rw-r--r--icefuzz/tests/colbuf_logic_8k.sh2
-rw-r--r--icefuzz/tests/colbuf_ram.sh2
-rw-r--r--icefuzz/tests/colbuf_ram_8k.sh2
-rw-r--r--icefuzz/tests/io_latched.sh2
-rw-r--r--icefuzz/tests/ioctrl.sh2
-rw-r--r--icefuzz/tests/test_pio.sh2
-rw-r--r--icetime/Makefile4
-rw-r--r--icetime/icetime.cc4
-rw-r--r--icetime/show.sh2
27 files changed, 41 insertions, 41 deletions
diff --git a/docs/index.html b/docs/index.html
index d02cbd9..d8e676e 100644
--- a/docs/index.html
+++ b/docs/index.html
@@ -61,8 +61,8 @@ arachne-pnr</a> (this example targets the iCEstick development board):
</p>
<pre style="padding-left: 3em">yosys -p "synth_ice40 -blif rot.blif" rot.v
-arachne-pnr -d 1k -p rot.pcf rot.blif -o rot.txt
-icepack rot.txt rot.bin
+arachne-pnr -d 1k -p rot.pcf rot.blif -o rot.asc
+icepack rot.asc rot.bin
iceprog rot.bin</pre>
<h2>Where are the Tools? How to install?</h2>
@@ -263,16 +263,16 @@ And run them through Yosys, Arachne-PNR and IcePack:
</p>
<pre style="padding-left: 3em">$ yosys -p 'synth_ice40 -top top -blif example.blif' example.v
-$ arachne-pnr -d 1k -o example.txt -p example.pcf example.blif
-$ icepack example.txt example.bin
+$ arachne-pnr -d 1k -o example.asc -p example.pcf example.blif
+$ icepack example.asc example.bin
</pre>
<p>
We would get something like the following <span style="font-family:monospace">icebox_explain</span> output:
</p>
-<pre style="padding-left: 3em">$ icebox_explain example.txt
-Reading file 'example.txt'..
+<pre style="padding-left: 3em">$ icebox_explain example.asc
+Reading file 'example.asc'..
Fabric size (without IO tiles): 12 x 16
.io_tile 0 10
@@ -308,8 +308,8 @@ buffer sp4_h_r_24 local_g3_0</pre>
And something like the following <span style="font-family:monospace">icebox_vlog</span> output:
</p>
-<pre style="padding-left: 3em">$ icebox_vlog -p example.pcf example.txt
-// Reading file 'example.txt'..
+<pre style="padding-left: 3em">$ icebox_vlog -p example.pcf example.asc
+// Reading file 'example.asc'..
module chip (output y, input b, input a);
diff --git a/examples/hx8kboard/Makefile b/examples/hx8kboard/Makefile
index e9fd789..9795cdf 100644
--- a/examples/hx8kboard/Makefile
+++ b/examples/hx8kboard/Makefile
@@ -7,10 +7,10 @@ all: $(PROJ).bin
%.blif: %.v
yosys -p 'synth_ice40 -top top -blif $@' $<
-%.txt: $(PIN_DEF) %.blif
+%.asc: $(PIN_DEF) %.blif
arachne-pnr -d $(DEVICE) -o $@ -p $^
-%.bin: %.txt
+%.bin: %.asc
icepack $< $@
prog: $(PROJ).bin
@@ -21,6 +21,6 @@ sudo-prog: $(PROJ).bin
sudo iceprog $<
clean:
- rm -f $(PROJ).blif $(PROJ).txt $(PROJ).bin
+ rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin
.PHONY: all prog clean
diff --git a/examples/icestick/Makefile b/examples/icestick/Makefile
index eaed6f7..06a5633 100644
--- a/examples/icestick/Makefile
+++ b/examples/icestick/Makefile
@@ -7,10 +7,10 @@ all: $(PROJ).bin
%.blif: %.v
yosys -p 'synth_ice40 -top top -blif $@' $<
-%.txt: $(PIN_DEF) %.blif
+%.asc: $(PIN_DEF) %.blif
arachne-pnr -d $(DEVICE) -o $@ -p $^
-%.bin: %.txt
+%.bin: %.asc
icepack $< $@
prog: $(PROJ).bin
@@ -21,6 +21,6 @@ sudo-prog: $(PROJ).bin
iceprog $<
clean:
- rm -f $(PROJ).blif $(PROJ).txt $(PROJ).bin
+ rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin
.PHONY: all prog clean
diff --git a/icebox/icebox_chipdb.py b/icebox/icebox_chipdb.py
index f36dd91..09a1ceb 100755
--- a/icebox/icebox_chipdb.py
+++ b/icebox/icebox_chipdb.py
@@ -22,7 +22,7 @@ mode_8k = False
def usage():
print("""
-Usage: icebox_chipdb [options] [bitmap.txt]
+Usage: icebox_chipdb [options] [bitmap.asc]
-8
create chipdb for 8k device
diff --git a/icebox/icebox_colbuf.py b/icebox/icebox_colbuf.py
index b9044c4..26b8940 100755
--- a/icebox/icebox_colbuf.py
+++ b/icebox/icebox_colbuf.py
@@ -23,7 +23,7 @@ fixup_mode = False
def usage():
print("""
-Usage: icebox_colbuf [options] [input.txt [output.txt]]
+Usage: icebox_colbuf [options] [input.asc [output.asc]]
-c
check colbuf bits
diff --git a/icebox/icebox_diff.py b/icebox/icebox_diff.py
index e54a0cf..c0db200 100755
--- a/icebox/icebox_diff.py
+++ b/icebox/icebox_diff.py
@@ -21,7 +21,7 @@ import re
if len(sys.argv) != 3:
print("""
-Usage: icebox_diff bitmap1.txt bitmap2.txt
+Usage: icebox_diff bitmap1.asc bitmap2.asc
""")
sys.exit(0)
diff --git a/icebox/icebox_explain.py b/icebox/icebox_explain.py
index 27757d1..50cce09 100755
--- a/icebox/icebox_explain.py
+++ b/icebox/icebox_explain.py
@@ -25,7 +25,7 @@ print_all = False
def usage():
print("""
-Usage: icebox_explain [options] [bitmap.txt]
+Usage: icebox_explain [options] [bitmap.asc]
-b
print config bit names for each config statement
diff --git a/icebox/icebox_vlog.py b/icebox/icebox_vlog.py
index f88bb56..a956e8f 100755
--- a/icebox/icebox_vlog.py
+++ b/icebox/icebox_vlog.py
@@ -32,7 +32,7 @@ modname = "chip"
def usage():
print("""
-Usage: icebox_vlog [options] [bitmap.txt]
+Usage: icebox_vlog [options] [bitmap.asc]
-s
strip comments from output
diff --git a/icefuzz/check.sh b/icefuzz/check.sh
index bb23cea..bbe76f7 100644
--- a/icefuzz/check.sh
+++ b/icefuzz/check.sh
@@ -8,8 +8,8 @@ for id; do
if test -f $id.pcf; then icebox_vlog_opts="$icebox_vlog_opts -p $id.pcf"; fi
if test -f $id.psb; then icebox_vlog_opts="$icebox_vlog_opts -P $id.psb"; fi
- ../icepack/iceunpack $id.bin $id.txt
- ../icebox/icebox_vlog.py $icebox_vlog_opts $id.txt > $id.ve
+ ../icepack/iceunpack $id.bin $id.asc
+ ../icebox/icebox_vlog.py $icebox_vlog_opts $id.asc > $id.ve
yosys -p "
read_verilog $id.v
diff --git a/icefuzz/icecube.sh b/icefuzz/icecube.sh
index 39f405b..213e039 100644
--- a/icefuzz/icecube.sh
+++ b/icefuzz/icecube.sh
@@ -198,5 +198,5 @@ cp "$1.tmp"/outputs/bitmap/top_bitmap_glb.txt "$1.glb"
cp "$1.tmp"/outputs/placer/top_sbt.pcf "$1.psb"
cp "$1.tmp"/outputs/netlist/top_sbt.v "$1.vsb"
cp "$1.tmp"/outputs/netlist/top_sbt.sdf "$1.sdf"
-$scriptdir/../icepack/iceunpack "$1.bin" "$1.txt"
+$scriptdir/../icepack/iceunpack "$1.bin" "$1.asc"
diff --git a/icefuzz/pinloc/pinloc-1k-cb132.sh b/icefuzz/pinloc/pinloc-1k-cb132.sh
index 07c1f06..19e05c3 100644
--- a/icefuzz/pinloc/pinloc-1k-cb132.sh
+++ b/icefuzz/pinloc/pinloc-1k-cb132.sh
@@ -23,7 +23,7 @@ pins="
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
echo " ICEDEV=hx1k-cb132 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.txt > ${id}.exp.new"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
done
diff --git a/icefuzz/pinloc/pinloc-1k-qn84.sh b/icefuzz/pinloc/pinloc-1k-qn84.sh
index e46743c..308fd5d 100644
--- a/icefuzz/pinloc/pinloc-1k-qn84.sh
+++ b/icefuzz/pinloc/pinloc-1k-qn84.sh
@@ -22,7 +22,7 @@ pins="
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
echo " ICEDEV=lp1k-qn84 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.txt > ${id}.exp.new"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
done
diff --git a/icefuzz/pinloc/pinloc-1k-tq144.sh b/icefuzz/pinloc/pinloc-1k-tq144.sh
index 463e052..a2f8819 100644
--- a/icefuzz/pinloc/pinloc-1k-tq144.sh
+++ b/icefuzz/pinloc/pinloc-1k-tq144.sh
@@ -21,7 +21,7 @@ pins="
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
echo " ICEDEV=hx1k-tq144 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.txt > ${id}.exp.new"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
done
diff --git a/icefuzz/pinloc/pinloc-1k-vq100.sh b/icefuzz/pinloc/pinloc-1k-vq100.sh
index 13df585..403d8e3 100644
--- a/icefuzz/pinloc/pinloc-1k-vq100.sh
+++ b/icefuzz/pinloc/pinloc-1k-vq100.sh
@@ -21,7 +21,7 @@ pins="
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
echo " ICEDEV=hx1k-vq100 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.txt > ${id}.exp.new"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
done
diff --git a/icefuzz/pinloc/pinloc-8k-ct256.sh b/icefuzz/pinloc/pinloc-8k-ct256.sh
index d8da2dc..69baaec 100644
--- a/icefuzz/pinloc/pinloc-8k-ct256.sh
+++ b/icefuzz/pinloc/pinloc-8k-ct256.sh
@@ -33,7 +33,7 @@ pins="
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
echo " ICEDEV=hx8k-ct256 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.txt > ${id}.exp.new"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
done
diff --git a/icefuzz/tests/colbuf_io.sh b/icefuzz/tests/colbuf_io.sh
index 2cc8387..7957ed7 100644
--- a/icefuzz/tests/colbuf_io.sh
+++ b/icefuzz/tests/colbuf_io.sh
@@ -32,7 +32,7 @@ for pin in $pins; do
echo "set_io pin $pin" > ${pf}.pcf
echo "set_io clk $gpin" >> ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done
diff --git a/icefuzz/tests/colbuf_io_8k.sh b/icefuzz/tests/colbuf_io_8k.sh
index 06d9d1d..3eb111f 100644
--- a/icefuzz/tests/colbuf_io_8k.sh
+++ b/icefuzz/tests/colbuf_io_8k.sh
@@ -44,7 +44,7 @@ for pin in $pins; do
echo "set_io pin $pin" > ${pf}.pcf
echo "set_io clk $gpin" >> ${pf}.pcf
ICEDEV=hx8k-ct256 bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done
diff --git a/icefuzz/tests/colbuf_logic.sh b/icefuzz/tests/colbuf_logic.sh
index 76676a4..f501afd 100644
--- a/icefuzz/tests/colbuf_logic.sh
+++ b/icefuzz/tests/colbuf_logic.sh
@@ -23,7 +23,7 @@ for y in {1..16}; do
echo "set_location dff $x $y 0" > ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/colbuf_logic_8k.sh b/icefuzz/tests/colbuf_logic_8k.sh
index 135053b..b4a0348 100644
--- a/icefuzz/tests/colbuf_logic_8k.sh
+++ b/icefuzz/tests/colbuf_logic_8k.sh
@@ -23,7 +23,7 @@ for y in {1..32}; do
echo "set_location dff $x $y 0" > ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
ICEDEV=hx8k-ct256 bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/colbuf_ram.sh b/icefuzz/tests/colbuf_ram.sh
index 7dc2f04..c808902 100644
--- a/icefuzz/tests/colbuf_ram.sh
+++ b/icefuzz/tests/colbuf_ram.sh
@@ -51,7 +51,7 @@ for y in {1..16}; do
echo "set_io oc 1" >> ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/colbuf_ram_8k.sh b/icefuzz/tests/colbuf_ram_8k.sh
index 56c5825..9c86963 100644
--- a/icefuzz/tests/colbuf_ram_8k.sh
+++ b/icefuzz/tests/colbuf_ram_8k.sh
@@ -51,7 +51,7 @@ for y in {1..32}; do
echo "set_io oc 1" >> ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
ICEDEV=hx8k-ct256 bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/io_latched.sh b/icefuzz/tests/io_latched.sh
index 7db5268..73da92d 100644
--- a/icefuzz/tests/io_latched.sh
+++ b/icefuzz/tests/io_latched.sh
@@ -23,6 +23,6 @@ for pin in $pins; do
echo "set_io data_out $pin_data"
} > ${pf}.pcf
bash ../../icecube.sh ${pf}.v
- ../../../icebox/icebox_vlog.py -SP ${pf}.psb ${pf}.txt > ${pf}.ve
+ ../../../icebox/icebox_vlog.py -SP ${pf}.psb ${pf}.asc > ${pf}.ve
done
diff --git a/icefuzz/tests/ioctrl.sh b/icefuzz/tests/ioctrl.sh
index d1acfe8..b25e617 100644
--- a/icefuzz/tests/ioctrl.sh
+++ b/icefuzz/tests/ioctrl.sh
@@ -18,7 +18,7 @@ for pin in $pins; do
echo "module top (output pin); assign pin = 1; endmodule" > ${pf}.v
echo "set_io pin $pin" > ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
done
set +x
diff --git a/icefuzz/tests/test_pio.sh b/icefuzz/tests/test_pio.sh
index b6fd2b9..4232ca3 100644
--- a/icefuzz/tests/test_pio.sh
+++ b/icefuzz/tests/test_pio.sh
@@ -49,7 +49,7 @@ for OUTTYPE in 0000 0110 1010 1110 0101 1001 1101 \
EOT
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
fi
- python3 ../../../icebox/icebox_vlog.py -P ${pf}.psb ${pf}.txt > ${pf}_out.v
+ python3 ../../../icebox/icebox_vlog.py -P ${pf}.psb ${pf}.asc > ${pf}_out.v
iverilog -D"VCDFILE=\"${pf}_tb.vcd\"" -DINTYPE=${INTYPE} -o ${pf}_tb \
-s testbench ../test_pio_tb.v ${pf}.v ${pf}_out.v $lattice_simlib 2> /dev/null
./${pf}_tb > ${pf}_tb.txt
diff --git a/icetime/Makefile b/icetime/Makefile
index c98f40b..7edfc6c 100644
--- a/icetime/Makefile
+++ b/icetime/Makefile
@@ -20,11 +20,11 @@ uninstall:
test0 test1 test2 test3 test4 test5 test6 test7 test8 test9: icetime
test -f $@_ref.v || python3 mktest.py $@
- ./icetime -P tq144 -p $@.pcf $@.txt $@_out.v
+ ./icetime -P tq144 -p $@.pcf $@.asc $@_out.v
yosys $@.ys
run0 run1 run2 run3 run4 run5 run6 run7 run8 run9: icetime
- ./icetime -P tq144 -p $(subst run,test,$@).pcf $(subst run,test,$@).txt $(subst run,test,$@)_out.v
+ ./icetime -P tq144 -p $(subst run,test,$@).pcf $(subst run,test,$@).asc $(subst run,test,$@)_out.v
show0 show1 show2 show3 show4 show5 show6 show7 show8 show9: icetime
bash show.sh $(subst show,test,$@)
diff --git a/icetime/icetime.cc b/icetime/icetime.cc
index 0a76836..b4abbd6 100644
--- a/icetime/icetime.cc
+++ b/icetime/icetime.cc
@@ -1137,7 +1137,7 @@ void make_interconn(const net_segment_t &src, FILE *graph_f)
void help(const char *cmd)
{
printf("\n");
- printf("Usage: %s [options] input.txt [output.v]\n", cmd);
+ printf("Usage: %s [options] input.asc [output.v]\n", cmd);
printf("\n");
printf(" -p <pcf_file>\n");
printf(" -P <chip_package>\n");
@@ -1194,7 +1194,7 @@ int main(int argc, char **argv)
} else
help(argv[0]);
- printf("// Reading input .txt file..\n");
+ printf("// Reading input .asc file..\n");
read_config();
printf("// Reading chipdb file..\n");
diff --git a/icetime/show.sh b/icetime/show.sh
index ee3e7bd..4e7eb04 100644
--- a/icetime/show.sh
+++ b/icetime/show.sh
@@ -9,7 +9,7 @@ yosys -p '
show -prefix equiv_graph -format dot a:equiv_region!=0 %co2 a:equiv_region!=0 %ci2
' $1.il
-./icetime -P tq144 -p $1.pcf $1.txt $( sed 's,_gate$,,; s,.*_,-g ,;' < equiv_graph.segs ) > /dev/null
+./icetime -P tq144 -p $1.pcf $1.asc $( sed 's,_gate$,,; s,.*_,-g ,;' < equiv_graph.segs ) > /dev/null
{
egrep -v '^}' icetime_graph.dot