aboutsummaryrefslogtreecommitdiffstats
path: root/icetime/mktest.py
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-10-22 15:50:25 +0200
committerClifford Wolf <clifford@clifford.at>2015-10-22 15:50:25 +0200
commit25aa4ce322822ffd4b283f8db520f7151b626e23 (patch)
tree3e8a69a491f1964f7a32179047f7beb9515ba514 /icetime/mktest.py
parent64db1d0979947f8d18a79a14f1340912ecf5e6b3 (diff)
downloadicestorm-25aa4ce322822ffd4b283f8db520f7151b626e23.tar.gz
icestorm-25aa4ce322822ffd4b283f8db520f7151b626e23.tar.bz2
icestorm-25aa4ce322822ffd4b283f8db520f7151b626e23.zip
Progress in icetime
Diffstat (limited to 'icetime/mktest.py')
-rw-r--r--icetime/mktest.py16
1 files changed, 15 insertions, 1 deletions
diff --git a/icetime/mktest.py b/icetime/mktest.py
index 9cd5cd6..04a1cfd 100644
--- a/icetime/mktest.py
+++ b/icetime/mktest.py
@@ -22,11 +22,25 @@ with open("%s.pcf" % sys.argv[1], "w") as f:
print("set_io o2 %s" % pins[3], file=f)
print("set_io o3 %s" % pins[4], file=f)
+with open("%s.ys" % sys.argv[1], "w") as f:
+ print("echo on", file=f)
+ print("read_verilog -lib cells.v", file=f)
+ print("read_verilog %s_ref.v" % sys.argv[1], file=f)
+ print("read_verilog %s_out.v" % sys.argv[1], file=f)
+ print("prep", file=f)
+ print("equiv_make top chip equiv", file=f)
+ print("hierarchy -top equiv", file=f)
+ print("equiv_struct", file=f)
+ print("equiv_purge", file=f)
+ print("opt_clean -purge", file=f)
+ print("show -format dot -prefix %s" % sys.argv[1], file=f)
+
os.system("bash ../icefuzz/icecube.sh %s.v" % sys.argv[1])
os.rename("%s.v" % sys.argv[1], "%s_in.v" % sys.argv[1])
-os.rename("%s.vsb" % sys.argv[1], "%s_ref.v" % sys.argv[1])
+os.system("grep -v defparam %s.vsb > %s_ref.v" % (sys.argv[1], sys.argv[1]))
os.remove("%s.bin" % sys.argv[1])
+os.remove("%s.vsb" % sys.argv[1])
os.remove("%s.glb" % sys.argv[1])
os.remove("%s.psb" % sys.argv[1])
os.remove("%s.sdf" % sys.argv[1])