aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz/tests/icegate.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-18 13:10:40 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-18 13:10:40 +0200
commit48154cb6f452d3bdb4da36cc267b4b6c45588dc9 (patch)
tree3ec3be9ef7e8db1fb7c764ed8202e0215a8eb7c7 /icefuzz/tests/icegate.v
parent13e63e6b65e044e348356731b55610d02cb308b9 (diff)
downloadicestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.tar.gz
icestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.tar.bz2
icestorm-48154cb6f452d3bdb4da36cc267b4b6c45588dc9.zip
Imported full dev sources
Diffstat (limited to 'icefuzz/tests/icegate.v')
-rw-r--r--icefuzz/tests/icegate.v18
1 files changed, 18 insertions, 0 deletions
diff --git a/icefuzz/tests/icegate.v b/icefuzz/tests/icegate.v
new file mode 100644
index 0000000..13b7dd5
--- /dev/null
+++ b/icefuzz/tests/icegate.v
@@ -0,0 +1,18 @@
+module top (
+ inout pin,
+ input latch_in,
+ output din_0,
+ output global
+);
+ SB_GB_IO #(
+ .PIN_TYPE(6'b 0000_11),
+ .PULLUP(1'b 0),
+ .NEG_TRIGGER(1'b 0),
+ .IO_STANDARD("SB_LVCMOS")
+ ) \pin_gb_io (
+ .PACKAGE_PIN(pin),
+ .LATCH_INPUT_VALUE(latch_in),
+ .D_IN_0(din_0),
+ .GLOBAL_BUFFER_OUTPUT(globals)
+ );
+endmodule