aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorMax Bruckner <max@maxbruckner.de>2015-12-29 20:52:34 +0100
committerMax Bruckner <max@maxbruckner.de>2015-12-29 20:52:34 +0100
commitd6a60bc2b5665e7c352f659668f62790782d3e72 (patch)
tree99ecd9b7bc8f0c36d80fd1e38d5af05210a6ad21 /examples
parent7852514c2cde208da87b62777b2c5e482092f50d (diff)
downloadicestorm-d6a60bc2b5665e7c352f659668f62790782d3e72.tar.gz
icestorm-d6a60bc2b5665e7c352f659668f62790782d3e72.tar.bz2
icestorm-d6a60bc2b5665e7c352f659668f62790782d3e72.zip
remove trailing tab in icestick example verilog
Diffstat (limited to 'examples')
-rw-r--r--examples/icestick/example.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/icestick/example.v b/examples/icestick/example.v
index cb7cfcd..a934400 100644
--- a/examples/icestick/example.v
+++ b/examples/icestick/example.v
@@ -17,6 +17,6 @@ module top (
counter <= counter + 1;
outcnt <= counter >> LOG2DELAY;
end
-
+
assign {LED1, LED2, LED3, LED4, LED5} = outcnt ^ (outcnt >> 1);
endmodule