aboutsummaryrefslogtreecommitdiffstats
path: root/docs
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-08-26 15:29:31 +0200
committerClifford Wolf <clifford@clifford.at>2016-08-26 15:29:31 +0200
commit5899972bb2dac0e071740150d257de206409f501 (patch)
tree76c45627c76b4f61273421126fcabd6e233277b6 /docs
parent31cba8a3a2adfc548744b2888057f8dffe940df3 (diff)
downloadicestorm-5899972bb2dac0e071740150d257de206409f501.tar.gz
icestorm-5899972bb2dac0e071740150d257de206409f501.tar.bz2
icestorm-5899972bb2dac0e071740150d257de206409f501.zip
More links on website
Diffstat (limited to 'docs')
-rw-r--r--docs/index.html11
1 files changed, 10 insertions, 1 deletions
diff --git a/docs/index.html b/docs/index.html
index c775ce6..b461b75 100644
--- a/docs/index.html
+++ b/docs/index.html
@@ -484,7 +484,6 @@ Links to related projects. Contact me at clifford@clifford.at if you have an int
<ul>
<li><a href="http://www.excamera.com/sphinx/article-j1a-swapforth.html">J1a SwapForth built with IceStorm</a>
-<li><a href="https://github.com/Obijuan/open-fpga-verilog-tutorial/wiki">A Spanish FPGA Tutorial using IceStorm</a>
<li><a href="https://github.com/davidcarne/iceBurn">Lattice iCEBlink40 Programming Tool</a>
<li><a href="https://github.com/reactive-systems/icedude">Another iCEBlink40 Programming Tool</a>
</ul>
@@ -493,12 +492,22 @@ Links to related projects. Contact me at clifford@clifford.at if you have an int
<ul>
<li><a href="http://www.latticesemi.com/icestick">Lattice iCEstick</a>
+<li><a href="http://www.latticesemi.com/en/Products/DevelopmentBoardsAndKits/iCE40HX8KBreakoutBoard.aspx">Lattice iCE40-HX8K Breakout Board</a>
<li><a href="http://icoboard.org/">IcoBoard</a>
<li><a href="http://wiggleport.com">wiggleport</a>
<li><a href="https://hackaday.io/project/6636-iced-an-arduino-style-board-with-ice-fpga">ICEd = an Arduino Style Board, with ICE FPGA</a>
<li><a href="https://hackaday.io/project/7982-cat-board">CAT Board</a>
<li><a href="http://opencores.org/project,ecowlogic-pico">eCow-Logic pico-ITX Lattice ICE40 board</a>
<li><a href="https://www.nandland.com/blog/go-board-introduction.html">Nandland Go Board</a>
+<li><a href="https://folknologylabs.wordpress.com/2016/08/17/the-lull-before-the-storm/">myStorm board (iCE40 + STM32)</a>
+</ul>
+
+<h3>Lectures and Tutorials</h3>
+
+<ul>
+<li><a href="https://www.youtube.com/watch?v=9rYiGDDUIzg">A Free and Open Source Verilog-to-Bitstream Flow for iCE40 FPGAs [32c3]</a>
+<li><a href="https://www.youtube.com/watch?v=s7fNTF8nd8A">Synthesizing Verilog for Lattice ICE40 FPGAs (Paul Martin)</a>
+<li><a href="https://github.com/Obijuan/open-fpga-verilog-tutorial/wiki">A Spanish FPGA Tutorial using IceStorm</a>
</ul>
<h3>Other FPGA reverse engineering projects</h3>