aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorClaire Wolf <claire@symbioticeda.com>2020-08-19 10:32:27 +0200
committerClaire Wolf <claire@symbioticeda.com>2020-08-19 10:32:27 +0200
commitf8c82074d22e71b4e67ab15696e5867c0ce2c71f (patch)
tree1f7272c28cd17fca7717c33e8b57551cd8ed359a
parent62041701ce4332c64497d319ca038fbc291cd3e0 (diff)
downloadicestorm-f8c82074d22e71b4e67ab15696e5867c0ce2c71f.tar.gz
icestorm-f8c82074d22e71b4e67ab15696e5867c0ce2c71f.tar.bz2
icestorm-f8c82074d22e71b4e67ab15696e5867c0ce2c71f.zip
Use YosysHQ in index.html
Signed-off-by: Claire Wolf <claire@symbioticeda.com>
-rw-r--r--docs/index.html14
1 files changed, 7 insertions, 7 deletions
diff --git a/docs/index.html b/docs/index.html
index 016f512..19628d8 100644
--- a/docs/index.html
+++ b/docs/index.html
@@ -162,10 +162,10 @@ Note: All tools will be installed relative to /usr/local
</p>
<p>
-Installing the <a href="https://github.com/cliffordwolf/icestorm">IceStorm Tools</a> (icepack, icebox, iceprog, icetime, chip databases):
+Installing the <a href="https://github.com/YosysHQ/icestorm">IceStorm Tools</a> (icepack, icebox, iceprog, icetime, chip databases):
</p>
-<pre style="padding-left: 3em">git clone https://github.com/cliffordwolf/icestorm.git icestorm
+<pre style="padding-left: 3em">git clone https://github.com/YosysHQ/icestorm.git icestorm
cd icestorm
make -j$(nproc)
sudo make install</pre>
@@ -193,7 +193,7 @@ sudo make install</pre>
Installing <a href="http://www.clifford.at/yosys/">Yosys</a> (Verilog synthesis):
</p>
-<pre style="padding-left: 3em">git clone https://github.com/cliffordwolf/yosys.git yosys
+<pre style="padding-left: 3em">git clone https://github.com/YosysHQ/yosys.git yosys
cd yosys
make -j$(nproc)
sudo make install</pre>
@@ -220,7 +220,7 @@ bit-streams to a Lattice iCEstick and/or a Lattice iCE40-HX8K Breakout Board as
</p>
<p>
-Please <a href="https://github.com/cliffordwolf/icestorm/issues/new">file an issue on github</a> if you have additional notes to
+Please <a href="https://github.com/YosysHQ/icestorm/issues/new">file an issue on github</a> if you have additional notes to
share regarding the install procedures on the operating system of your choice.
</p>
@@ -229,7 +229,7 @@ share regarding the install procedures on the operating system of your choice.
<p>
The IceStorm Tools are a couple of small programs for working with iCE40 bitstream files and our
ASCII representation of it. The complete Open Source iCE40 Flow consists of the <a
-href="https://github.com/cliffordwolf/icestorm">IceStorm Tools</a>, <a
+href="https://github.com/YosysHQ/icestorm">IceStorm Tools</a>, <a
href="https://github.com/cseed/arachne-pnr">Arachne-PNR</a>, and <a
href="http://www.clifford.at/yosys/">Yosys</a>.
</p>
@@ -309,8 +309,8 @@ For general discussions go to the <a href="https://www.reddit.com/r/yosys/">Yosy
</p>
<p>
-If you have a bug report please file an issue on github. (<a href="https://github.com/cliffordwolf/icestorm/issues">IceStorm Issue Tracker</a>,
-<a href="https://github.com/cliffordwolf/yosys/issues">Yosys Issue Tracker</a>, <a href="https://github.com/cseed/arachne-pnr/issues">Arachne-PNR Issue Tracker</a>)
+If you have a bug report please file an issue on github. (<a href="https://github.com/YosysHQ/icestorm/issues">IceStorm Issue Tracker</a>,
+<a href="https://github.com/YosysHQ/yosys/issues">Yosys Issue Tracker</a>, <a href="https://github.com/cseed/arachne-pnr/issues">Arachne-PNR Issue Tracker</a>)
</p>
<h2 id="docs">Where is the Documentation?</h2>