aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-ams/ashenden/compliant/subprograms/tb_mixer.vhd
blob: e0526b02dc3a6479b167586d05d6cd46678e6539 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;

library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;

entity tb_mixer is
end tb_mixer;

architecture TB_mixer of tb_mixer is
    -- Component declarations
    -- Signal declarations
    terminal mix_in : electrical_vector(1 to 8);
    terminal pseudo_gnd : electrical;
begin
    -- Signal assignments
    -- Component instances
    v3 : entity work.v_sine(ideal)
        generic map(
            amplitude => 5.0,
            freq => 1.0e3
        )
        port map(
            pos => mix_in(7),
            neg => ELECTRICAL_REF
        );
    v4 : entity work.v_sine(ideal)
        generic map(
            amplitude => 4.0,
            freq => 2.0e3
        )
        port map(
            pos => mix_in(8),
            neg => ELECTRICAL_REF
        );
    v9 : entity work.v_sine(ideal)
        generic map(
            freq => 1.0e3,
            amplitude => 5.0
        )
        port map(
            pos => mix_in(5),
            neg => ELECTRICAL_REF
        );
    v10 : entity work.v_sine(ideal)
        generic map(
            freq => 2.0e3,
            amplitude => 4.0
        )
        port map(
            pos => mix_in(6),
            neg => ELECTRICAL_REF
        );
    R2 : entity work.resistor(ideal)
        generic map(
            res => 1.0e3
        )
        port map(
            p1 => pseudo_gnd,
            p2 => ELECTRICAL_REF
        );
    mixer1 : entity work.mixer_wa(weighted)
        port map(
            inputs => mix_in,
            output => pseudo_gnd
        );
    v14 : entity work.v_sine(ideal)
        generic map(
            amplitude => 4.0,
            freq => 2.0e3
        )
        port map(
            pos => mix_in(2),
            neg => ELECTRICAL_REF
        );
    v15 : entity work.v_sine(ideal)
        generic map(
            amplitude => 5.0,
            freq => 1.0e3
        )
        port map(
            pos => mix_in(1),
            neg => ELECTRICAL_REF
        );
    v16 : entity work.v_sine(ideal)
        generic map(
            freq => 2.0e3,
            amplitude => 4.0
        )
        port map(
            pos => mix_in(4),
            neg => ELECTRICAL_REF
        );
    v17 : entity work.v_sine(ideal)
        generic map(
            freq => 1.0e3,
            amplitude => 5.0
        )
        port map(
            pos => mix_in(3),
            neg => ELECTRICAL_REF
        );
end TB_mixer;