aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-ams/ashenden/compliant/packages/analog_output_interface.vhd
blob: 604a98906c7e9216fb7e04b8e3a626c14f1a376f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

-- not in book


library ieee;  use ieee.std_logic_1164.all;
library ieee_proposed;  use ieee_proposed.electrical_systems.all;

entity analog_output_interface is
  port ( signal wr : in std_ulogic;
         signal data : std_ulogic_vector(7 downto 0);
         terminal analog_out : electrical );
end entity analog_output_interface;


----------------


library ieee;  use ieee.std_logic_1164.all;
library ieee_proposed;  use ieee_proposed.electrical_systems.all;

entity analog_interface_dac is
  port ( signal d_in : std_ulogic_vector(7 downto 0);
         terminal output : electrical;
         terminal plus_supply, minus_supply : electrical );
end entity analog_interface_dac;


architecture macroblock of analog_interface_dac is

begin

end architecture macroblock;

-- end not in book




architecture structural of analog_output_interface is
  
  -- This architecture implements the interface as a register connected to a DAC.
  -- NOTE: it uses the analog power supply terminals from clock_power_pkg
  -- to supply the DAC.
  
  signal register_out : -- . . .;
  -- not in book
    std_ulogic_vector(7 downto 0);
  -- end not in book

begin

  -- ...
  
  dac : entity work.analog_interface_dac(macroblock)
    port map ( d_in => register_out, output => analog_out,
               plus_supply => work.clock_power_pkg.analog_plus_supply,
               minus_supply => work.clock_power_pkg.analog_ground );
  
end architecture structural;