aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-ams/ashenden/compliant/frequency-modeling/inline_01a.vhd
blob: c6e2a9c25f3a6baa61f4bae77769a5a743e202e4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

library ieee_proposed;  use ieee_proposed.electrical_systems.all;
library ieee;  use ieee.math_real.all;

entity inline_01a is

end entity inline_01a;


architecture test of inline_01a is

  function inverse_exp ( x : real ) return real is
  begin
    return 10.0 * exp(-2.0e-6 * x);
  end function inverse_exp;

  -- code from book

  type domain_type is (quiescent_domain, time_domain, frequency_domain);

  --

  quantity spec_source : real spectrum 2.5, math_pi / 2.0;

  --

  function frequency return real;

  --

  quantity source1 : real spectrum inverse_exp(frequency), math_pi / 4.0;

  --

  quantity source2 : real spectrum 5.0, 1.0E-6 * frequency / math_pi;

  -- end code from book

  function frequency return real is
  begin
    return std.standard.frequency;
  end function frequency;

begin
end architecture test;