aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/variable/simple-integer-initialize.vhdl
blob: fc2ded3b536729d627f9acc4be0bbde3e6fe9645 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity foo is
end foo;

use std.textio.all;

architecture only of foo is
begin  -- only
  process
    variable x : integer := 0;
  begin  -- process
    assert x = 0 report "TEST FAILED - x does not equal 1" severity failure;
    report "TEST PASSED" severity note;
    wait;
  end process;
end only;