aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/operators/division/integer-division.vhdl
blob: 3124df89b934693b14806d63b5133b32bb47c049 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity foo is
end foo;

use std.textio.all;

architecture only of foo is
begin  -- only
  process
    variable x : integer := 0;
  begin  -- process
    x := 4/2;
    assert x = 2 report "TEST FAILED - x does not equal 2" severity failure;
    assert x /= 2 report "TEST PASSED" severity note;
    wait;
  end process;
end only;