aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/operators/addition/variable-plus-int.vhdl
blob: 18943e78cb2d71a7d8878657e871f8e1d5ef9e22 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity foo is
end foo;

use std.textio.all;

architecture only of foo is
begin  -- only
  process
    variable x : integer := 1;
  begin  -- process
    x := x + 2;
    assert x = 3 report "TEST FAILED - x does not equal 1" severity failure;
    assert x /= 3 report "TEST PASSED" severity NOTE;
    wait;
  end process;
end only;