aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1786.vhd
blob: 76ec44b53c630b5ab70b5f9e15321dbad74162e3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
-- Copyright (C) 2001 Bill Billowitch.

-- Some of the work to develop this test suite was done with Air Force
-- support.  The Air Force and Bill Billowitch assume no
-- responsibilities for this software.

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

-- ---------------------------------------------------------------------
--
-- $Id: tc1786.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------

entity c09s06b00x00p04n08i01786ent_a is
  port ( signal clk : in bit;
         signal i_bus : in bit_vector(0 to 7);
         signal o_bus : out bit_vector(0 to 7)
         );
end c09s06b00x00p04n08i01786ent_a;

ENTITY c09s06b00x00p04n08i01786ent IS
  port ( signal clock : in bit;
         signal in_bus : in bit_vector(0 to 7);
         signal out_bus : out bit_vector(0 to 7)
         );
END c09s06b00x00p04n08i01786ent;

ARCHITECTURE c09s06b00x00p04n08i01786arch OF c09s06b00x00p04n08i01786ent IS
  component c09s06b00x00p04n08i01786ent_a
    port ( signal clk : in bit;
           signal i_bus : in bit_vector(0 to 7);
           signal o_bus : out bit_vector(0 to 7)
           );
  end component; -- Test

BEGIN
  err : c09s06b00x00p04n08i01786ent_a
    port map ( i_bus => in_bus,
               i_bus => in_bus,
               o_bus => out_bus
               );

  assert FALSE 
    report "***FAILED TEST: c09s06b00x00p04n08i01786 - Each local port must be associated exactly once."
    severity ERROR;

END c09s06b00x00p04n08i01786arch;