aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/billowitch/compliant/tc1984.vhd
blob: 167b16d17f2ac578705606613c82f19fe1900146 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
-- Copyright (C) 2001 Bill Billowitch.

-- Some of the work to develop this test suite was done with Air Force
-- support.  The Air Force and Bill Billowitch assume no
-- responsibilities for this software.

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

-- ---------------------------------------------------------------------
--
-- $Id: tc1984.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------

ENTITY c07s02b02x00p02n01i01984ent IS
END c07s02b02x00p02n01i01984ent;

ARCHITECTURE c07s02b02x00p02n01i01984arch OF c07s02b02x00p02n01i01984ent IS

BEGIN
  TESTING: PROCESS
    variable B1 : boolean := true;
    variable B2 : boolean := false;
    variable A1 : bit := '1';
    variable A2 : bit := '0';
  BEGIN

    assert NOT(     A1 = '1'   and
                    '1' = A1   and
                    B2 = false   and
                    false = B2   and
                    A1 /= A2   and
                    B1 /= B2   and
                    A2 < A1   and
                    B2 < B1   and
                    A1 > A2   and
                    B1 > B2   and
                    A2 <= A1   and
                    B2 <= B1   and
                    A1 >= A2   and
                    B1 >= B2   and
                    A1 <= A1   and
                    B1 <= B1   and
                    B2 <= B2   and
                    A2 <= A2   )   
      report "***PASSED TEST: c07s02b02x00p02n01i01984"
      severity NOTE;
    assert (     A1 = '1'   and
                 '1' = A1   and
                 B2 = false   and
                 false = B2   and
                 A1 /= A2   and
                 B1 /= B2   and
                 A2 < A1   and
                 B2 < B1   and
                 A1 > A2   and
                 B1 > B2   and
                 A2 <= A1   and
                 B2 <= B1   and
                 A1 >= A2   and
                 B1 >= B2   and
                 A1 <= A1   and
                 B1 <= B1   and
                 B2 <= B2   and
                 A2 <= A2   )   
      report "***FAILED TEST: c07s02b02x00p02n01i01984 - Relational operators true table test for data type of BIT and BOOLEAN failed."
      severity ERROR;
    wait;
  END PROCESS TESTING;

END c07s02b02x00p02n01i01984arch;