aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/ashenden/compliant/ch_17_ch_17_02.vhd
blob: cdf4ae787ff235f59c5f333e7d7e90539ea804a5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

-- ---------------------------------------------------------------------
--
-- $Id: ch_17_ch_17_02.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------

entity ch_17_02 is

end entity ch_17_02;


----------------------------------------------------------------


architecture test of ch_17_02 is
begin


  process is

            -- code from book:

            type stimulus_record is record
                                      stimulus_time : time;
                                      stimulus_value : bit_vector(0 to 3);
                                    end record stimulus_record;

          type stimulus_ptr is access stimulus_record;

          variable bus_stimulus : stimulus_ptr;

          -- end of code from book

  begin

    -- code from book:

    bus_stimulus := new stimulus_record'( 20 ns, B"0011" );

    -- end of code from book

    wait;
  end process;


end architecture test;