aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/ashenden/compliant/ch_05_fg_05_09.vhd
blob: 1d5119a56eaf5a849d957d8bb08b6a777af0a35e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

-- ---------------------------------------------------------------------
--
-- $Id: ch_05_fg_05_09.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------

-- not in book

entity computer_system is
end entity computer_system;

-- end not in book


architecture abstract of computer_system is

  subtype word is bit_vector(31 downto 0);

  signal address : natural;
  signal read_data, write_data : word;
  signal mem_read, mem_write : bit := '0';
  signal mem_ready : bit := '0';

begin

  cpu : process is
                  variable instr_reg : word;
                variable PC : natural;
                -- . . .    -- other declarations
  begin
    loop
      address <= PC;
      mem_read <= '1';
      wait until mem_ready = '1';
      instr_reg := read_data;
      mem_read <= '0';
      wait until mem_ready = '0';
      PC := PC + 4;
      -- . . .    -- execute the instruction
    end loop;
  end process cpu;

  memory : process is
                     type memory_array is array (0 to 2**14 - 1) of word;
                   variable store : memory_array := (
                     -- . . .
                     -- not in book
                     0 => X"0000_0000",
                     1 => X"0000_0004",
                     2 => X"0000_0008",
                     3 => X"0000_000C",
                     4 => X"0000_0010",
                     5 => X"0000_0014",
                     others => X"0000_0000"
				        -- end not in book
                     );
  begin
    wait until mem_read = '1' or mem_write = '1';
    if mem_read = '1' then
      read_data <= store( address / 4 );
      mem_ready <= '1';
      wait until mem_read = '0';
      mem_ready <= '0';
    else
      -- . . .    -- perform write access
    end if;
  end process memory;

end architecture abstract;