aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/ashenden/compliant/ap_a_fg_a_01.vhd
blob: 9b7c90a8318c82c43427db837162c81c582f35c1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

-- ---------------------------------------------------------------------
--
-- $Id: ap_a_fg_a_01.vhd,v 1.2 2001-10-26 16:29:33 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------

entity fg_a_01 is
end entity fg_a_01;



library ieee;  use ieee.std_logic_1164.all;

architecture test of fg_a_01 is

  signal clk, d : std_ulogic;

begin

  stimulus : process is
  begin
    clk <= '0';  d <= '0';  wait for 10 ns;
    clk <= '1', '0' after 10 ns;  wait for 20 ns;
    d <= '1';  wait for 10 ns;
    clk <= '1', '0' after 20 ns;  d <= '0' after 10 ns;

    wait;
  end process stimulus;


  b1 : block is
               signal q : std_ulogic;
  begin

    -- code from book

    process (clk) is
    begin
      if rising_edge(clk) then
        q <= d;
      end if;
    end process;

    -- end code from book

  end block b1;


  b2 : block is
               signal q : std_ulogic;
  begin

    -- code from book

    process is
    begin
      wait until rising_edge(clk);
      q <= d;
    end process;

    -- end code from book

  end block b2;


  b3 : block is
               signal q : std_ulogic;
  begin

    -- code from book

    q <= d when rising_edge(clk) else
         q;

    -- end code from book

  end block b3;


  b4 : block is
               signal q : std_ulogic;
  begin

    -- code from book

    b : block ( rising_edge(clk)
                and not clk'stable ) is
    begin
      q <= guarded d;
    end block b;

    -- end code from book

  end block b4;

end architecture test;