aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/ashenden/compliant/ap_a_ap_a_02.vhd
blob: c7a4a5bf53a0c21f966f2a4237b70d679a361b9f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc

-- This file is part of VESTs (Vhdl tESTs).

-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version. 

-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
-- for more details. 

-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 

-- ---------------------------------------------------------------------
--
-- $Id: ap_a_ap_a_02.vhd,v 1.2 2001-10-26 16:29:33 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------

entity ap_a_02 is

end entity ap_a_02;


library ieee;  use ieee.std_logic_1164.all;

architecture test of ap_a_02 is

  -- code from book

  -- end code from book

begin

  b1 : block is
               signal sulv : std_ulogic_vector(7 downto 0);
             signal slv : std_logic_vector(7 downto 0);
  begin
    -- code from book

    sulv <= To_stdulogicvector ( slv );

    -- end code from book
    slv <= "10101010";
  end block b1;

  b2 : block is
               signal sulv : std_ulogic_vector(7 downto 0);
             signal slv : std_logic_vector(7 downto 0);
  begin
    -- code from book

    slv <= To_stdlogicvector ( sulv );

    -- end code from book
    sulv <= "00001111";
  end block b2;

  b3 : block is
               signal a, ena, y : std_logic;
  begin
    -- code from book

    y <= a when ena = '1' else
         'Z';

    -- end code from book
    ena <= '0', '1' after 20 ns, '0' after 40 ns;
    a <= '0', '1' after 10 ns, '0' after 30 ns, '1' after 50 ns;
  end block b3;

  b4 : block is
               signal a, ena, y : std_logic;
  begin
    -- code from book

    y <= a when ena = '1' else
         'H';

    -- end code from book
    ena <= '0', '1' after 20 ns, '0' after 40 ns;
    a <= '0', '1' after 10 ns, '0' after 30 ns, '1' after 50 ns;
  end block b4;

  b5 : block is
               signal a, b, x, s, y : std_logic;
  begin
    -- code from book

    y <= a when x = '1' else
         b when s = '1' else
         '-';

    -- end code from book
    x <= '0', '1' after 20 ns, '0' after 40 ns;
    s <= '0', '1' after 60 ns, '0' after 80 ns;
    a <= '0', '1' after 10 ns, '0' after 30 ns,
         '1' after 50 ns, '0' after 70 ns,
         '1' after 90 ns;
    b <= '0', '1' after 15 ns, '0' after 35 ns,
         '1' after 55 ns, '0' after 75 ns,
         '1' after 95 ns;
  end block b5;

end architecture test;