aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth93/a.vhdl
blob: 0b2b5b6b22253770b4e14b340483a94ffec52ad0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library IEEE;
use IEEE.std_logic_1164.ALL;

entity A is
  port (
    clk : in std_logic;
        input : in std_logic;
        output : out std_logic
    );

end entity A;


architecture RTL of A is
begin

    not_proc : process (clk)

        variable not_input : std_logic := '0';

    begin
        if rising_edge(clk) then
      not_input := not input;
    end if;

    output <= not_input;
    end process;
end RTL;