aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth8/vector8_test1.vhdl
blob: 585d003b0b72b9ba0c5bf23eac0c244050f9fa89 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity vector8_test1 is
  port (led7: out std_logic);
end vector8_test1;

architecture synth of vector8_test1 is

signal v : std_logic_vector(7 downto 0);

begin
  v(7) <= '1';
  led7 <= v(7);
end synth;