aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth8/test5.vhdl
blob: 0d1fbc0e5f2502201de94dcf381c8f49fb538999 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity test5 is
  port (led: out std_logic_vector (7 downto 0));
end test5;

architecture synth of test5 is

begin
  led(7) <= '1';
--  led(6) <= '1';
--  led(5) <= '0';
--  led(3 downto 0) <= x"9";
end synth;